Giáo trình PLC S7-300 Lý thuyết và ứng dụng - ThS. Nguyễn Xuân Quang

pdf 84 trang phuongnguyen 4600
Bạn đang xem 20 trang mẫu của tài liệu "Giáo trình PLC S7-300 Lý thuyết và ứng dụng - ThS. Nguyễn Xuân Quang", để tải tài liệu gốc về máy bạn click vào nút DOWNLOAD ở trên

Tài liệu đính kèm:

  • pdfgiao_trinh_plc_s7_300_ly_thuyet_va_ung_dung_ths_nguyen_xuan.pdf

Nội dung text: Giáo trình PLC S7-300 Lý thuyết và ứng dụng - ThS. Nguyễn Xuân Quang

  1. BOÄ GIAÙO DUÏC VAØ ÑAØO TAÏO TRÖÔØNG ÑAÏI HOÏC SÖ PHAÏM KYÕ THUAÄT TP.HCM KHOA CÔ KHÍ CHEÁ TAÏO MAÙY – BOÄ MOÂN CÔ ÑIEÄN TÖÛ GIAÙO TRÌNH PLC S7-300 LYÙ THUYEÁT VAØ ÖÙNG DUÏNG (DAØNH CHO SINH VIEÂN NGAØNH CÔ ÑIEÄN TÖÛ – TÖÏ ÑOÄNG HOÙA ) BIEÂN SOAÏN: ThS. NGUYEÃN XUAÂN QUANG TP.HCM, THAÙNG 12 NAÊM 2006
  2. Truong DH SPKT TP. HCM MUÏC LUÏC Chöông 1 Trang 1 1.1 Giôùi thieäu PLCS7-300 1 1.1.1 Thieát bò ñieàu khieån khaû trình 1 1.1.2 Caùc module cuûa PLCS7-300 2 1.2 Toå chöùc boä nhôù CPU 8 1.3 Voøng queùt chöông trình PLC 10 1.4 Caáu truùc chöông trình. 11 1.4.1 Laäp trình tuyeán tính 12 1.4.2 Laäp trình caáu truùc 12 1.4.3 Caùc khoái OB ñaëc bieät 13 1.5 Ngoân ngöõ laäp trình 14 Chöông 2 Ngoân ngöõ laäp trình STL 16 2.1 Caáu truùc leänh 16 2.1.1 Toùan haïng laø döõ lieäu 16 2.1.2 Toùan haïng laø ñòa chæ 18 2.1.3 Thanh ghi traïng thaùi 20 2.2 Caùc leänh cô baûn Ban quyen © Truong DH Su pham Ky thuat TP. HCM 22 2.2.1 Nhoùm leänh logic 22 2.2.2 Leänh ñoïc thanh ghi trong ACCU 28 Chöông 3 Ngoân ngöõ Graph vaø öùng duïng 32 3.1 Taïo moät khoái FB döôùi daïng ngoân ngöõ Graph 32 3.1.1 Taïo moät khoái FB Graph 32 3.1.2 Vieát chöông trình theo kieåu tuaàn töï 32 3.2 Vieát chöông trình cho ACTION cho caùc step 36 3.3 Vieát chöông trình cho TRANSITION 37 3.4 Löu vaø ñoùng chöông trình laïi 39 3.5 Goïi chöông trình töø trong khoái FB1 vaøo khoái OB1 40 3.6 Download chöông trình xuoáng CPU vaø kieåm tra tuaàn töï chöông trình 40 3.6.1 Download chöông trình xuoáng CPU 40 3.6.2 kieåm tra tuaàn töï chöông trình 41 Chöông 4 Phaàn meàm Step 7 42 4.1 Sô löôïc veà phaàn meàm Step 7 42 4.1.1 Caøi ñaët step 7 42 4.1.2 Caùc coâng vieäc khi laøm vieäc vôùi phaàn meàm Step 7 43 4.1.3 Seat giao dieän PG/PC 43 Thu vien DH SPKT TP. HCM -
  3. Truong DH SPKT TP. HCM 4.2 caùch taïo moät chöông trình öùng duïng vôùi Step 7 44 4.2.1 Caùc böôùc soïan thaûo moät Project 44 4.2.2 Thieát laäp phaàn cöùng cho traïm 46 4.2.3 Soïan thaûo chöông trình cho caùc khoái logic 51 Chöông 5 Boä hieäu chænh PID, caùc haøm xöû lyù tín hieäu töông töï vaø öùng duïng 54 5.1 Giôùi thieäu 45 5.2 Moâdun meàm FB58 55 5.2.1 Giôùi thieäu 55 5.2.2 Caùc thoâng soá cuûa FB58 66 5.3 Haøm FC105,FC106 71 5.3.1 Haøm FC105 ñònh tæ leä ngoõ vaøo Analog 71 5.3.2 Haøm FC106 khoâng ñònh tæ leä ngoõ ra Analog 72 5.4 Ví duï öùng duïng ñieàu khieån möùc nöùc trong boàn 73 5.4.1 Nguyeân lyù hoaït ñoäng 73 5.4.2 Sô ñoà khoái cuûa heä thoáng töï ñoäng 75 5.4.3 Khai baùo caùc thoâng soá phaàn cöùng 76 Ban quyen © Truong DH Su pham Ky thuat TP. HCM Thu vien DH SPKT TP. HCM -
  4. Truong DH SPKT TP. HCM TAØI LIEÄU THAM KHAÛO 1. Nguyeãn Hoàng Sôn. Kyõ Thuaät Truyeàn Soá Lieäu- Nhaø Xuaát Baûn Lao Ñoäng Vaø Xaõ Hoäi. 2. Phan Xuaân Minh & Nguyeãn Doaõn Phöôùc, 1997 : Lyù Thuyeát Ñieàu Khieån Môø – Nhaø Xuaát Baûn Khoa Hoïc Vaø Kyõ Thuaät. 3. Nguyeãn Doaõn Phöôùc, Phan Xuaân Vuõ, Vuõ Vaân Hoaø, 2000. Töï Ñoäng Hoaù vôùi SIMATIC S7-300 – Nhaø Xuaát Baûn Khoa Hoïc Vaø Kyõ Thuaät 4. SIMATIC S7-300 Ñieàu Khieån Heä Thoáng (Systemhandling ), 2000. Ñaïi Hoïc Sö Phaïm Kyõ Thuaät. Trung Taâm Vieät Ñöùc. Boä Moân Ñieän –Ñieän Tö.û 5. Haõng Siemens, SIMATIC’s Manual. 6. Ban quyen © Truong DH Su pham Ky thuat TP. HCM Thu vien DH SPKT TP. HCM -
  5. Truong DH SPKT TP. HCM CHÖÔNG 1: GIÔÙI THIEÄU 1.1 Giôùi thieäu PLC S7-300 1.1.1 Thieát bò ñieàu khieån logic khaû trình. Thieát bò ñieàu khieån logic khaû trình (Programmable Logic Controller) laø loaïi thieát bò thöïc hieän linh hoaït caùc thuaät toaùn ñieàu khieån soá thoâng qua moät ngoân ngöõ laäp trình, thay vì phaûi thöïc hieän thuaät toaùn ñoù baèng maïch soá. Nhö vaäy, PLC laø moät boä ñieàu khieån goïn, nheï vaø deã trao ñoåi thoâng tin vôùi moâi tröôøng beân ngoaøi (vôùi caùc PLC khaùc hoaëc maùy tính). Toaøn boä chöông trình ñieàu khieån ñöôïc löu tröõ trong boä nhôù cuûa PLC döôùi daïng caùc khoái chöông trình vaø ñöôïc thöïc hieän theo chu kyø cuûa voøng queùt (scan). CPU Boä nhôù chöông trình Boä xöû lyù trung taâm Timer + Boä ñeäm Ban quyenHeä ñieàu© Truong haønh DH Su pham Ky thuat TP. HCM Boä ñeám vaøo/ra Bit côø Coång vaøo/ra Bus cuûa PLC onboard Quaûn lyù keát noái Coång ngaét vaø ñeám toác ñoä cao Hình1.1. Caáu truùc beân trong cuûa moät PLC Ñeå thöïc hieän ñöôïc moät chöông trình ñieàu khieån, taát nhieân PLC phaûi coù tính naêng nhö moät maùy tính, nghóa laø phaûi coù moät boä vi xöû lyù (CPU), moät heä ñieàu haønh, boä nhôù ñeå löu chöông trình ñieàu khieån, döõ lieäu vaø taát nhieân phaûi coù caùc coång vaøo/ra ñeå giao tieáp ñöôïc vôùi ñoái töôïng ñieàu khieån vaø ñeå trao ñoåi Trang 1 Thu vien DH SPKT TP. HCM -
  6. Truong DH SPKT TP. HCM thoâng tin vôùi moâi tröôøng xung quanh. Beân caïnh ñoù nhaèm phuïc baøi toaùn ñieàu khieån soá, PLC coøn phaûi coù theâm moät soá khoái chöùc naêng ñaëc bieät khaùc nhö boä ñeám (Counter), boä ñònh thôøi (Timer) vaø nhöõng khoái haøm chuyeân duøng. Öu ñieåm cuûa boä ñieàu khieån laäp trình ñöôïc so vôùi ñieàu khieån noái daây:  Tính naêng môû roäng: khaû naêng môû roäng xöû lyù baèng caùch thay ñoåi chöông trình laäp trình moät caùch deã daøng.  Ñoä tin caäy cao.  Caùch keát noái caùc thieát bò ñieàu khieån ñôn giaûn.  Hình daùng PLC goïn nheï.  Giaù thaønh vaø chi phí laép ñaët thaáp.  Phuø hôïp vôùi moâi tröôøng coâng nghieäp. Caùc öùng duïng cuûa PLC trong saûn xuaát vaø trong daân duïng:  Ñieàu khieån caùc Robot trong coâng nghieäp.  Heä thoáng xöû lyù nöôùc saïch.  Coâng ngheä thöïc phaåm. Ban quyen © Truong DH Su pham Ky thuat TP. HCM  Coâng ngheä cheá bieán daàu moû.  Coâng ngheä saûn xuaát vi maïch.  Ñieàu khieån caùc maùy coâng cuï.  Ñieàu khieån vaø giaùm saùt daây chuyeàn saûn xuaát.  Ñieàu khieån heä thoáng ñeøn giao thoâng.  1.1.2 Caùc module cuûa PLC S7-300. Ñeå taêng tính meàm deûo trong caùc öùng duïng thöïc teá maø ôû ñoù phaàn lôùn caùc ñoái töôïng ñieàu khieån coù soá tín hieäu ñaàu vaøo, ñaàu ra cuõng nhö chuûng loaïi tín hieäu vaøo/ra khaùc nhau maø caùc boä ñieàu khieån PLC ñöôïc thieát keá khoâng bò cöùng hoaù veà caáu hình. Chuùng ñöôïc chia nhoû thaønh caùc module. Soá caùc module ñöôïc söû duïng nhieàu hay ít tuyø thuoäc vaøo töøng baøi toaùn, song toái thieåu bao giôø cuõng coù module chính (module CPU, module nguoàn). Caùc module coøn laïi laø nhöõng module truyeàn nhaän tín hieäu vôùi caùc ñoái töôïng ñieàu khieån, chuùng ñöôïc goïi laø caùc module môû roäng. Taát caû caùc module ñeàu ñöôïc gaù treân moät thanh Rack. Module CPU: Trang 2 Thu vien DH SPKT TP. HCM -
  7. Truong DH SPKT TP. HCM Ñaây laø loaïi module coù chöùa boä vi xöû lyù, heä ñieàu haønh, boä nhôù, caùc boä thôøi gian, boä ñeám, coång truyeàn thoâng, vaø coù theå coù caùc coång vaøo/ra soá. Caùc coång vaøo/ra tích hôïp treân CPU goïi laø coång vaøo ra onboard. Trong hoï PLC S7-300, caùc module CPU coù nhieàu loaïi vaø ñöôïc ñaët teân theo boä vi xöû lyù beân trong nhö : CPU 312, CPU 314, CPU 316, . Nhöõng module cuøng moät boä vi xöû lyù nhöng khaùc nhau soá coång vaøo/ra onboard cuõng nhö caùc khoái haøm ñaëc bieät thì ñöôïc phaân bieät baèng cuïm chöõ caùi IFM (Intergrated Function Module). Ví duï nhö CPU 312IFM, CPU 314IFM, . Ngoaøi ra, coøn coù loaïi module CPU coù hai coång truyeàn thoâng, trong ñoù coång thöù hai duøng ñeå noái maïng phaân taùn nhö maïng PROFIBUS (PROcess Field BUS). Loaïi naøy ñi keøm vôùi cuïm töø DP (Distributed Port) trong teân goïi. Ví duï module CPU315-DP. Module môû roäng: Caùc module môû roäng ñöôïc thaønh 5 loaïi : 1) PS (Power Supply): module nguoàn laø module taïo ra nguoàn coù ñieän aùp 24Vdc caáp nguoàn cho caùc module khaùc. Coù 3 loaïi: 2A, 5A vaø 10A. Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình1.2. Sô ñoà khoái vaø sô ñoà ñaáu daây cuûa module nguoàn PS307;2A (6ES7307-1BA00-0AB) 1 Ñeøn chæ thò nguoàn 24Vdc 5 ON/OFF Switch 24Vdc 2 Ñoâmino noái daây ngoõ ra ñieän aùp 24Vdc 3 Caàu chì baûo veä quaù doøng 4 Ñoâmino noái daây vôùi ñieän aùp 220Vac Trang 3 Thu vien DH SPKT TP. HCM -
  8. Truong DH SPKT TP. HCM 2) SM (Signal Module): Module môû roäng vaøo/ra, bao goàm : a) DI (Digital Input): module môû roäng coång vaøo soá. Soá caùc coång vaøo soá môû roäng coù theå laø 8, 16 hoaëc 32 tuyø thuoäc vaøo töøng loaïi module. Hình 1.3. Sô ñoà ñaáu daây cuûa module Hình 1.4. Sô ñoà ñaáu daây cuûa module SM221; DI 32 x DC 24V SM221; DI 32 x AC 120V (6ES7321-1BL00-0AA0) (6ES7321-1EL00-0AA0) 1 Soá thöù töï caùc ngoõBan quyenvaøo soá © trongTruong module DH Su pham Ky thuat TP. HCM 2 Ñeøn chæ thò möùc logic 3 Bus beân trong cuûa module b) DO (Digital Output): module môû roäng coång ra soá. Soá caùc coång vaøo soá môû roäng coù theå laø 8, 16 hoaëc 32 tuyø thuoäc vaøo töøng loaïi module. Hình 1.5.Sô ñoà ñaáu daây cuûa module Hình 1.6. Sô ñoà ñaáu daây cuûa module SM 322; DO 32 x 24 VDC/ 0.5 A; SM 322; DO 16 x AC 120/230 V/1 A; (6ES7322-1BL00-0AA0) (6ES7322-1FH00-0AA0) Trang 4 Thu vien DH SPKT TP. HCM -
  9. Truong DH SPKT TP. HCM Hình 1.7. Sô ñoà ñaáu daây cuûa module Hình 1.8. Sô ñoà ñaáu daây cuûa module SM 322; DO 16 x Rel. AC 120/230 V; SM 322; DO 8 x Rel. AC 230V/5A; (6ES7322-1HH01-0AA0) (6ES7322-5HF00-0AB0) 1 Soá thöù töï caùc ngoõ vaøo soá trong module 2 Ñeøn chæ thò möùc logic Ban quyen © Truong DH Su pham Ky thuat TP. HCM 3 Bus beân trong cuûa module c) DI/DO (Digital Input/Digital Output): module môû roäng coång vaøo/ra soá. Soá caùc coång vaøo/ra soá môû roäng coù theå laø 8 vaøo/8 ra hoaëc 16 vaøo/16 ra tuyø thuoäc vaøo töøng loaïi module. 1 Soá thöù töï caùc ngoõ vaøo soá trong module 2 Ñeøn chæ thò möùc logic 3 Bus beân trong cuûa module Hình 1.9. Sô ñoà ñaáu daây cuûa module SM 323; DI 16/DO 16 x DC 24 V/0.5 A; (6ES7323-1BL00-0AA0) Trang 5 Thu vien DH SPKT TP. HCM -
  10. Truong DH SPKT TP. HCM d) AI (Analog Input): module môû roäng coång vaøo töông töï. Baûn chaát chuùng laø nhöõng boä chuyeån ñoåi töông töï sang soá (ADC). Soá caùc coång vaøo töông töï coù theå laø 2, 4 hoaëc 8 tuyø töøng loaïi module, soá bit coù theå laø 8,10,12,14,16 tuøy theo töøng loaïi module. Ví duï: Module SM 331; AI 2 x 12 bit; (6ES7331-7KB02-0AB0) Caùc daïng tín hieäu ñoïc ñöôïc - Ñieän aùp - Doøng ñieän - Ñieän trôû - Nhieät ñoä Ñoä phaân giaûi 12 bit Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 1.10. Sô ñoà ñaáu daây cuûa module Hình 1.11. Sô ñoà ñaáu daây cuûa module Khi tín hieäu vaøo laø ñieän aùp Khi tín hieäu vaøo laø ñoøng ñieän Hình 1.12. Sô ñoà ñaáu daây cuûa module Hình 1.13. Sô ñoà ñaáu daây cuûa module Khi tín hieäu vaøo laø ñieän trôû Khi tín hieäu vaøo laø Thermocouple Trang 6 Thu vien DH SPKT TP. HCM -
  11. Truong DH SPKT TP. HCM e) AO (Analog Output): module môû roäng coång ra töông töï. Chuùng laø nhöõng boä chuyeån ñoåi töø soá sang töông töï (DAC). Soá coång ra töông töï coù theå laø 2 hoaëc 4 tuyø töøng loaïi module. Hình 1.14. Sô ñoà ñaáu daây cuûa module SM 332; AO 4 x 12 Bit; Ban(6ES7332-5HD01-0AB0) quyen © Truong DH Su pham Ky thuat TP. HCM f) AI/AO (Analog Input/Analog Output): module môû roäng vaøo/ra töông töï. Soá caùc coång vaøo ra töông töï coù theå laø 4 vaøo/2 ra hoaëc 4 vaøo/4 ra tuyø töøng loaïi module. 3) IM (Interface Module): Module keát noái. Hình 1.15. Sô ñoà ñaáu daây cuûa module IM 365; (6ES7365-0BA01-0AA0) Trang 7 Thu vien DH SPKT TP. HCM -
  12. Truong DH SPKT TP. HCM Ñaây laø loaïi module duøng ñeå keát noái töøng nhoùm caùc module môû roäng thaønh moät khoái vaø ñöôïc quaûn lyù bôûi moät module CPU. Thoâng thuôøng caùc module môû roäng ñöôïc gaù lieàn nhau treân moät thanh rack. Moãi thanh rack chæ coù theå gaù ñöôïc nhieàu nhaát 8 module môû roäng (khoâng keå module CPU vaø module nguoàn). Moät module CPU coù theå laøm vieäc nhieàu nhaát vôùi 4 thanh rack vaø caùc rack naøy phaûi ñöôïc noái vôùi nhau baèng module IM. 4) FM (Function Module): Module coù chöùc naêng ñieàu khieån rieâng nhö: module ñieàu khieån ñoäng cô böôùc, module ñieàu kieån ñoäng cô servo, module PID, 5) CP (Communication Processor): Module truyeàn troâng giöõa PLC vôùi PLC hay giöõa PLC vôùi PC. 1.2 Toå chöùc boä nhôù CPU.  Vuøng nhôù chöùc caùc thanh ghi: ACCU1, ACCU2, AR1, AR2,  Load memory: laø vuøng nhôù chöùa chöông trình öùng duïng (do ngöôøi söû duïng vieát ) bao goàm taát caû caùc khoái chöông trình öùng duïng OB, FC, FB, caùc khoái chöông trình trong thö vieän heä thoáng ñöôïc söû duïng (SFC, SFB) vaø caùc khoái döõ lieäu DB. Vuøng nhôù naøy ñöôïc taïo bôûi moät phaàn boä nhôù RAM cuûa CPU vaø EEPROM (neáu coù EEPROM). Khi thöïc hieän ñoäng taùc xoaù boä nhôù (MRES) toaøn Ban quyen © Truong DH Su pham Ky thuat TP. HCM boä caùc khoái chöông trình vaø khoái döõ lieäu naèm trong RAM seõ bò xoaù. Cuõng nhö vaäy, khi chöông trình hay khoái döõ lieäu ñöôïc ñoå (down load) töø thieát bò laäp trình (PG, maùy tính) vaøo module CPU, chuùng seõ ñöôïc ghi leân phaàn RAM cuûa vuøng nhôù Load memory.  Work memory: laø vuøng nhôù chöùa caùc khoái DB ñang ñöôïc môû, khoái chöông trình (OB, FC, FB, SFC, hoaëc SFB) ñang ñöôïc CPU thöïc hieän vaø phaàn boä nhôù caáp phaùt cho nhöõng tham soá hình thöùc ñeå caùc khoái chöông trình naøy trao ñoåi tham trò vôùi heä ñieàu haønh vaø vôùi caùc khoái chöông trình khaùc (local block). Taïi moät thôøi ñieåm nhaát ñònh vuøng Work memory chæ chöùa moät khoái chöông trình. Sau khi khoái chöông trình ñoù ñöôïc thöïc hieän xong thì heä ñieàu haønh seõ xoaù khoûi Work memory vaø naïp vaøo ñoù khoái chöông trình keá tieáp ñeán löôït ñöôïc thöïc hieän.  System memory: laø vuøng nhôù chöùa caùc boä ñeäm vaøo/ra soá (Q, I), caùc bieán côø (M), thanh ghi C-Word, PV, T-bit cuûa timer, thanh ghi C-Word, PV, C-bit cuûa Couter. Vieäc truy caäp, söûa loãi döõ lieäu nhöõng oâ nhôù naøy ñöôïc phaân chia hoaëc bôûi heä ñieàu haønh cuûa CPU hoaëc do chöông trình öùng duïng. Coù theå thaáy raèng trong caùc vuøng nhôù ñöôïc trình baøy ôû treân khoâng coù vuøng nhôù naøo ñöôïc duøng laøm boä ñeäm cho caùc coång vaøo/ra töông töï. Noùi caùch khaùc Trang 8 Thu vien DH SPKT TP. HCM -
  13. Truong DH SPKT TP. HCM caùc coång vaøo/ra töông töï khoâng coù boä ñeäm vaø nhö vaäy moãi leänh truy nhaäp module töông töï (ñoïc hoaëc göûi giaù trò) ñeàu coù taùc duïng tröïc tieáp tôùi caùc coång vaät lyù cuûa module. Baûng1.1. vuøng ñòa chæ vaø taàm ñòa chæ Teân goïi Kích thöôùc truy caäp Kích thöôùc toái ña (tuyø thuoäc vaøo CPU) Process input image (I) I 0.0 ÷ 127.7 Boä ñeäm vaøo soá IB 0 ÷ 127 IW 0 ÷126 ID 0 ÷ 124 Process output image (Q) Q 0.0 ÷ 127.7 Boä ñeäm ra soá QB 0 ÷ 127 QW 0 ÷ 126 Ban quyen © Truong DH Su pham Ky thuat TP. HCM ID 0 ÷ 124 Bit memory (M) M 0.0 ÷ 255.7 Vuøng nhôù côø MB 0 ÷ 255 MW 0 ÷ 254 MD 0 ÷ 252 Timer (T) T0 ÷ T255 Counter (C) C0 ÷ C255 Data block (DB) DBX 0.0 ÷ 65535.7 Khoái döõ lieäu share DBB 0 ÷ 65535 DBW 0 ÷ 65534 DBD 0 ÷ 65532 Data block (DI) DIX 0.0 ÷ 65535.7 Trang 9 Thu vien DH SPKT TP. HCM -
  14. Truong DH SPKT TP. HCM Khoái döõ lieäu instance DIB 0 ÷ 65535 DIW 0 ÷ 65534 DID 0 ÷ 65532 Local block (L) L 0.0 ÷ 65535.7 Mieàn nhôù ñòa phöông LB 0 ÷ 65535 cho caùc tham soá hình LW 0 ÷ 65534 thöùc LD 0 ÷ 65532 Peripheral input (PI) PIB 0 ÷ 65535 PIW 0 ÷ 65534 PID 0 ÷ 65532 Peripheral output (PQ) PQB 0 ÷ 65535 PQW 0 ÷ 65534 PQD 0 ÷ 65532 Ban quyen © Truong DH Su pham Ky thuat TP. HCM Tröø phaàn boä nhôù EEPROM thuoäc vuøng Load memory vaø moät phaàn RAM töï nuoâi ñaëc bieät (non-volatile) duøng ñeå löu giöõ tham soá caáu hình traïm PLC nhö ñòa chæ traïm (MPI address), teân caùc module môû roäng, taát caû caùc phaàn boä nhôù coøn laïi ôû cheá ñoä maëc ñònh khoâng coù khaû naêng töï nhôù (non-retentive). Khi maát nguoàn nuoâi hoaëc khi thöïc hieän coâng vieäc xoaù boä nhôù (MRES), toaøn boä noäi dung cuûa phaàn boä nhôù non-retentive seõ bò maát. 1.3 Voøng queùt chöông trình cuûa PLC. PLC thöïc hieän chöông trình theo chu trình laëp. Moãi voøng laëp ñöôïc goïi laø voøng queùt (scan). Moãi voøng queùt ñöôïc baét ñaàu baèng giai ñoaïn chuyeån döõ lieäu töø caùc coång vaøo soá tôùi vuøng boä ñeäm aûo I, tieáp theo laø giai thöïc hieän chöông trình. Trong töøng voøng queùt, chöông trình ñöôïc thöïc hieän töø leänh ñaàu tieân ñeán leänh keát thuùc cuûa khoái OB1 (Block end). Sau giai ñoaïn thöïc hieän chöông trình laø giai ñoaïn chuyeån caùc noäi dung cuûa boä ñeäm aûo Q tôùi caùc coång ra soá. Voøng queùt ñöôïc keát thuùc baèng giai ñoaïn truyeàn thoâng noäi boä vaø kieåm tra loãi. Thôøi gian caàn thieát ñeå PLC thöïc hieän ñöôïc moät voøng queùt goïi laø thôøi gian voøng queùt (Scan time). Thôøi gian voøng queùt khoâng coá ñònh, töùc laø khoâng phaûi voøng queùt naøo cuõng ñöôïc thöïc hieän laâu, coù voøng queùt ñöôïc thöïc hieän nhanh tuyø Trang 10 Thu vien DH SPKT TP. HCM -
  15. Truong DH SPKT TP. HCM thuoäc vaøo soá leänh trong chöông trình ñöôïc thöïc hieän, vaøo khoái döõ lieäu ñöôïc truyeàn thoâng trong voøng queùt ñoù. Hình 1.16. Voøng queùt CPU Nhö vaäy giöõa vieäc ñoïc döõ lieäu töø ñoái töôïng ñeå xöû lyù, tính toaùn vaø vieäc göûi tín hieäu ñieàu khieån tôùi ñoái töôïng coù moät khoaûng thôøi gian treã ñuùng baèng thôøi gian voøng queùt. Noùi caùch khaùc, thôøi gian voøng queùt quyeát ñònh tính thôøi gian thöïc cuûa chöông trình ñieàu khieån trong PLC. Thôøi gian voøng queùt caøng ngaén, tính thôøi gian thöïc cuûa chöông trình caøng cao. Neáu söû duïng caùcBan khoái quyen chöông © Truong trình ñaëcDH Su bieät pham coù cheáKy thuat ñoä ngaét, TP. HCMví duï nhö khoái OB40, OB80, Chöông trình cuûa caùc khoái ñoù seõ ñöôïc thöïc hieän trong voøng queùt khi xuaát hieän tín hieäu baùo ngaét cuøng chuûng loaïi. Caùc khoái chöông trình naøy coù theå ñöôïc thöïc hieän taïi moïi ñieåm trong voøng queùt chöù khoâng bò goø eùp laø phaûi ôû trong giai ñoaïn thöïc hieän chöông trình. Chaúng haïn neáu moät tín hieäu baùo ngaét xuaát hieän khi PLC ñang ôû giai ñoaïn truyeàn thoâng vaø kieåm tra noäi boä, PLC seõ taïm döøng coâng vieäc truyeàn thoâng, kieåm tra, ñeå thöïc hieän khoái chöông trình töông öùng vôùi khoái tín hieäu baùo ngaét ñoù. Vôùi hình thöùc xöû lyù tín hieäu ngaét nhö vaäy, thôøi gian voøng queùt seõ caøng lôùn khi caøng coù nhieàu tín hieäu ngaét xuaát hieän trong voøng queùt. Do ñoù, ñeå naâng cao tính thôøi gian thöïc cho chöông trình ñieàu khieån tuyeät ñoái khoâng neân vieát chöông trình xöû lyù ngaét quaù daøi hoaëc quaù laïm duïng vieäc söû duïng cheá ñoä ngaét trong chöông trình ñieàu khieån. Taïi thôøi ñieåm thöïc hieän leänh vaøo/ra, thoâng thöôøng leänh khoâng laøm vieäc tröïc tieáp vôùi coång vaøo/ra maø chæ thoâng qua boä ñeåm aûo cuûa coång trong vuøng nhôù tham soá. Vieäc truyeàn thoâng giöõa boä ñeäm aûo vôùi ngoaïi vi trong caùc giai ñoaïn 1 vaø 3 do heä ñieàu haønh CPU quaûn lyù. ÔÛ moät soá module CPU, khi gaëp leänh vaøo/ra ngay laäp töùc, heä thoáng seõ cho döøng moïi coâng vieäc khaùc, ngay caû chöông trình xöû lyù ngaét, ñeå thöïc hieän leänh tröïc tieáp vôùi coång vaøo/ra. Trang 11 Thu vien DH SPKT TP. HCM -
  16. Truong DH SPKT TP. HCM 1.4. Caáu truùc chöông trình. Chöông trình cho S7-300 ñöôïc löu trong boä nhôù cuûa PLC ôû vuøng daønh rieâng cho chöông trình. Ta coù theå ñöôïc laäp trình vôùi hai daïng caáu truùc khaùc nhau: 1.4.1. Laäp trình tuyeán tính Toaøn boä chöông trình ñieàu khieån naèm trong moät khoái trong boä nhôù. Loaïi laäp trình caáu truùc chæ thích hôïp cho nhöõng baøi toaùn töï ñoäng nhoû, khoâng phöùc taïp. Leänh 1 OB1 Leänh 2 Leänh n Hinh 1.17. Voøng queùt PLC Ban quyen © Truong DH Su pham Ky thuat TP. HCM Khoái ñöôïc choïn laø khoái OB1, laø khoái maø PLC luoân luoân queùt vaø thöïc hieän caùc leänh trong noù thöôøng xuyeân, töø leänh ñaàu tieân ñeán leänh cuoái cuøng vaø quay laïi leänh ñaàu tieân: 1.4.2 Laäp trình caáu truùc Chöông trình ñöôïc chia thaønh nhöõng phaàn nhoû vôùi töøng nhieäm vuï rieâng bieät vaø caùc phaàn naøy naèm trong nhöõng khoái chöông trình khaùc nhau. Loaïi laäp trình coù caáu truùc phuø hôïp vôùi nhöõng baøi toaùn ñieàu khieån nhieàu nhieäm vuï vaø phöùc taïp. Caùc khoái cô baûn : Khoái OB (Organization Block): khoái toå chöùc vaø quaûn lyù chöông trình ñieàu khieån. Coù nhieàu loaïi khoái OB vôùi nhöõng chöùc naêng khaùc nhau. Chuùng ñöôïc phaân bieät vôùi nhau baèng soá nguyeân theo sau nhoùm kyù töï OB, ví duï nhö OB1, OB35, OB80 Khoái FC (Program Block): khoái chöông trình vôùi nhöõng chöùc naêng rieâng bieät gioáng nhö moät chöông trình con hay moät haøm (chöông trình co coù bieán hình thöùc). Moät chöông trình öùng duïng coù theå coù nhieàu khoái FC vaø caùc khoái FC naøy ñöôïc phaân bieät vôùi nhau baèng soá nguyeân theo sau nhoùm kyù töï FC, chaúng haïn nhö FC1, FC2, Trang 12 Thu vien DH SPKT TP. HCM -
  17. Truong DH SPKT TP. HCM Khoái FB (Function Block): laø khoái FC ñaët bieät coù khaû naêng trao ñoåi moät löôïng döõ lieäu lôùn vôùi caùc khoái chöông trình khaùc. Caùc döõ lieäu naøy phaûi ñöôïc toå chöùc thaønh khoái döõ lieäu rieâng ñöôïc goïi laø Data Block. Moät chöông trình öùng duïng coù theå coù nhieàu khoái FB vaø caùc khoái FB naøy ñöôïc phaân bieät vôùi nhau baèng soá nguyeân theo sau nhoùm kyù töï FB. Chaúng haïn nhö FB1, FB2, Khoái DB (Data Block): khoái döõ lieäu caàn thieát ñeå thöïc hieän chöông trình. Caùc tham soá cuûa khoái do ngöôøi söû duïng töï ñaët. Moät chöông trình öùng duïng coù theå coù nhieàu khoái DB vaø caùc khoái DB naøy ñöôïc phaân bieät vôùi nhau baèng soá nguyeân theo sau nhoùm kyù töï DB. Chaúng haïn nhö DB1, DB2, Chöông trình trong caùc khoái ñöôïc lieân keát vôùi nhau baèng caùc leänh goïi khoái vaø chuyeån khoái. Caùc chöông trình con ñöôïc pheùp goïi loàng nhau, töùc töø moät chöông trình con naøy goïi moät chöông trình con khaùc vaø töø chöông trình con ñöôïc goïi laïi goïi moät chöông trình con thöù 3. FC7 . . . FB2 FC1 . . . . Heä Ban quyen © Truong DH Su pham Ky thuat TP. HCMFB9 . . . . Ñieàu OB1 . . . FC3 Haønh FB5 Hình 1.18. Laäp trình coù caáu truùc 1.4.3 Caùc khoái OB ñaëc bieät. 1) OB10 (Tinme of Day Interrupt ): Chöông trình trong khoái OB10 seõ ñöôïc thöïc hieän khi giaù trò thôøi gian cuûa ñoàng hoà thôøi gian thöïc naèm trong moät khoaûng thôøi gian ñaõ ñöôïc quy ñònh. Vieäc quy ñònh khoaûng thôøi gian hay soá laàn goïi OB10 ñöôïc thöïc hieän nhôø chöông trình heä thoáng SFC28 hay trong baûng tham soá cuûa module CPU nhôø phaàn meàm STEP 7. 2) OB20 (Time Relay Interrupt): Chöông trình trong khoái OB20 seõ ñöôïc thöïc hieän sau moät khoaûng thôøi gian treã ñaët tröôùc keå töø khi goïi chöông trình heä thoáng SFC32 ñeå ñaët thôøi gian treã. 3) OB35 (Cyclic Interrupt): Chöông trình trong khoái OB35 seõ ñöôïc thöïc hieän caùch ñeàu nhau moät khoaûng thôøi gian coá ñònh. Maëc ñònh, khoaûng thôøi gian naøy laø 100ms, nhöng ta coù theå thay ñoåi nhôø STEP 7. Trang 13 Thu vien DH SPKT TP. HCM -
  18. Truong DH SPKT TP. HCM 4) OB40 (Hardware Interrupt): Chöông trình trong khoái OB40 seõ ñöôïc thöïc hieän khi xuaát hieän moät tín hieäu baùo ngaét töø ngoaïi vi ñöa vaøo CPU thoâng qua caùc coång onboard ñaëc bieät, hoaëc thoâng qua caùc module SM, CP, FM. 5) OB80 (Cycle Time Fault ): Chöông trình trong khoái OB80 seõ ñöôïc thöïc hieän khi thôøi gian voøng queùt (scan time) vöôït quaù khoaûng thôøi gian cöïc ñaïi ñaõ qui ñònh hoaëc khi coù moät tín hieäu ngaét goïi moät khoái OB naøo ñoù maø khoái OB naøy chöa keát thuùc ôû laàn goïi tröôùc. Thôøi gian queùt maëc ñònh laø 150ms. 6) OB81 (Power Supply Fault): Chöông trình trong khoái OB81 seõ ñöôïc thöïc hieän khi thaáy coù xuaát hieän loãi veà boä nguoàn nuoâi. 7) OB82 (Diagnostic Interrupt): Chöông trình trong khoái OB82 seõ ñöôïc thöïc hieän coù söï coá töø caùc module môû roäng vaøo/ra. Caùc module naøy phaûi laø caùc module coù khaû naêng töï kieåm tra mình (diagnostic cabilities). 8) OB87 (Communication Fault): Chöông trình trong khoái OB87 seõ ñöôïc thöïc hieän coù xuaát hieän loãi trong truyeàn thoâng. 9) OB100 (Start Up Information): Chöông trình trong khoái OB100 seõ ñöôïc thöïc hieän moät laàn khi CPU chuyeån töø traïng thaùi STOP sang RUN. 10) OB101 (Cold StartBan Up quyenInformation-chæ © Truong DH vôùi Su S7-400pham Ky): Chöôngthuat TP. trình HCM trong khoái OB101 seõ ñöôïc thöïc hieän moät laàn khi coâng taét nguoàn chuyeån töø traïng thaùi OFF sang ON. 11) OB121 (Synchronous Error): Chöông trình trong khoái OB121 seõ ñöôïc thöïc hieän khi CPU phaùt hieän thaáy loãi logic trong chöông trình ñoåi sai kieåu döõ lieäu hay loãi truy nhaäp khoái DB, FC, FB khoâng coù trong boä nhôù. 12) OB122 (Synchronous Error): Chöông trình trong khoái OB122 seõ ñöôïc thöïc hieän khi coù loãi truy nhaäp module trong chöông trình. 1.5 Ngoân ngöõ laäp trình. PLC S7-300 coù ba ngoân ngöõ laäp trình cô baûn sau:  Ngoân ngöõ laäp trình lieät keâ leänh STL (Statement List). Ñaây laø daïng ngoân ngöõ laäp trình thoâng thöôøng cuûa maùy tính. Moät chöông trình ñöôïc hoaøn chænh bôûi söï gheùp noái cuûa nhieàu caâu leänh theo moät thuaät toaùn nhaát ñònh, moãi leänh chieám moät haøng vaø coù caáu truùc chung “teân leänh” + “toaùn haïng”. Trang 14 Thu vien DH SPKT TP. HCM -
  19. Truong DH SPKT TP. HCM  Ngoân ngöõ laäp trình LAD (Ladder Logic). Ñaây laø daïng ngoân ngöõ ñoà hoaï, thích hôïp vôùi nhöõng ngöôøi laäp trình quen vôùi vieäc thieát keá maïch ñieàu khieån logic.  Ngoân ngöõ laäp trình FBD (Function Block Diagram). Ñaây cuõng laø daïng ngoân ngöõ ñoà hoaï, thích hôïp cho nhöõng ngöôøi quen thieát keá maïch ñieàu khieån soá.  Ngoân ngöõ laäp trình Graph. Ñaây cuõng laø daïng ngoân ngöõ ñoà hoaï, thích hôïp cho nhöõng heä thoáng tuaàn töï.  Ngoân ngöõ laäp trình SCL. Ñaây cuõng laø daïng ngoân ngöõ caáp cao, thích hôïp cho nhöõng ngöôøi bieát vieát ngoân ngöõ caáp cao. Trong PLC coù nhieàu ngoân ngöõ laäp trình nhaèm phuïc vuï cho caùc ñoái töôïng söû duïng khaùc nhau. Tuy nhieân moät chöông trình vieát treân ngoân ngöõ LAD hay FBD coù theå chuyeån sang daïng STL, nhöng ngöôïc laïi thì khoâng. Vaø trong STL coù nhieàu leänh maø LAD hoaëc FBD khoâng coù. Ñaây cuõng laø theá maïnh cuûa ngoân ngöõ STL: STL Ban quyen © Truong DH SuFBD pham Ky thuat TP. HCM LAD Hình 1.19. STL laø ngoân ngöõ maïnh nhaát Ví duï: Ladder Diagram LAD Stamentl list STL Function Block Diagram FBD Trang 15 Thu vien DH SPKT TP. HCM -
  20. Truong DH SPKT TP. HCM CHÖÔNG 2: NGOÂN NGÖÕ LAÄP TRÌNH STL 2.1. Caáu truùc leänh Nhö ñaõ bieát, caáu truùc cuûa moät leänh STL coù daïng “Teân leänh” + “Toaùn haïng” Ví duï: Nhaõn : L PIW274 // Ñoïc noäi dung coång vaøo cuûa module Analog teân leänh toaùn haïng Trong ñoù toaùn haïnhg coù theå laø moät döõ lieäu hoaëc moät ñòa chæ oâ nhôù. 2.1.1 Toaùn haïng laø döõ lieäu Ban quyen © Truong DH Su pham Ky thuat TP. HCM - Döõ lieäu logic TRUE (1) vaø (0) coù ñoä daøi 1 bit. Ví duï CALL FC1 In_Bit_1 = TRUE //Giaù trò logic 1 ñöôïc gaùn cho bieán hình thöùc In_Bit_1 In_Bit_2 = FALSE // Giaù trò logic ñöôïc gaùn cho bieán hình thöùc In_Bit_2 Ret_val = MW0 //Giaù trò traû veà. - Döõ lieäu soá nhò phaân. Ví duï L 2#110011 //Naïp soá nhò phaân 110011 vaøo thanh ghi ACCU1 - Döõ lieäu laø soá Hexadecimal x coù ñoä daøi 1 byte (B#16#x), 1 töø (W#16#x) hoaëc 1 töø keùp (DW#16#x). Ví duï L B#16#1E //Naïp soá 1E vaøo byte thaáp cuûa thanh ghi ACCU1 L W#16#3A //Naïp soá 3A2 vaøo 2 byte thaáp cuûa thanh ghi ACCU1 L DW#16#D3A2E //Naïp soá D3A2E vaøo thanh ghi ACCU1 - Döõ lieäu laø soá nguyeân x vôùi ñoä daøi 2 bytes cho bieán kieåu INT. Trang 16 Thu vien DH SPKT TP. HCM -
  21. Truong DH SPKT TP. HCM Ví duï L 930 L -1025 - Döõ lieäu laø soá nguyeân x vôùi doä daøi 4 bytes daïng L#x cho bieán kieåu DINT. Ví duï L L#930 L L#-2047 - Döõ lieäu laø soá thöïc x cho bieán kieåu REAL. Ví duï L 1.234567e+13 L 930.0 - Döõ lieäu thôøi gian cho bieán kieåu S5T daïng giôø _phuùt_giaây_mili giaây. Ví duï L S5T#2h_1m_0s_5ms - Döõ lieäu thôøi gian cho bieán kieåu TOD daïng giôø:phuùt:giaây. Ví duï Ban quyen © Truong DH Su pham Ky thuat TP. HCM L TOD#5:45:00 - DATE: Bieåu dieãn giaù trò thôøi gian tính theo naêm/thaùng/ngaøy. Ví duï L DATE#1999 – 12 – 8. - C: Bieåu dieãn giaù trò soá ñeám ñaët tröôùc cho boä ñeám. Ví duï L C#20 - P: Döõ lieäu bieåu dieãn ñòa chæ cuûa moät bit oâ nhôù. Ví duï L P#Q0.0 - Döõ lieäu “kí töï”. Ví duï L ‘ABCD’ L ‘E’ Trang 17 Thu vien DH SPKT TP. HCM -
  22. Truong DH SPKT TP. HCM 2.1.2 Toaùn haïng laø ñòa chæ. Ñòa chæ oâ nhôù trong S7_300 goàm hai phaàn: phaàn chöõ vaø phaàn soá. Ví duï: PIW 304 hoaëc M 300.4 phaàn chöõ phaàn soá phaàn chöõ phaàn soá a. Phaàn chöõ chæ vò trí vaø kích thöôùc cuûa oâ nhôù. Chuùng coù theå laø: - M: Chæ oâ nhôù trong mieàn caùc bieán côø coù kích thöôùc laø 1 bit. - MB: Chæ oâ nhôù trong mieàn caùc bieán côø coù kích thöôùc laø 1 byte(8 bits). - MW: Chæ oâ nhôù trong mieàn caùc bieán côø coù kích thöôùc laø 2 bytes (16 bits). - MD: Chæ oâ nhôù trong mieàn caùc bieán côø coù kích thöôùc laø 4 bytes(32 bits). - I: Chæ oâ nhoâ coù kích thöôùc 1 bit trong mieàn boä ñeäm coång vaøo soá. - IB: Chæ oâ nhôù coù kích thöôùc laø moät byte trong mieàn boä ñeäm coång vaøo soá. - IW: Chæ oâ nhôùBan coù quyenkích thöôùc © Truong laø moät DH töøSu trongpham mieànKy thuat boä ñeämTP. HCM coång vaøo soá. - ID: Chæ oâ nhôù coù kích thöôùc laø hai töø trong mieàn boä ñeäm coång vaøo soá. - Q: Chæ oâ nhôù coù kích thöôùc 1 bit trong mieàn boä ñeäm coång ra soá. - QB: Chæ oâ nhôù coù kích thöôùc laø moät byte trong mieàn boä ñeäm coång rasoá. - QW: Chæ oâ nhôù coù kích thöôùc laø moät töø trong mieàn boä ñeäm coång ra soá. - QD: Chæ oâ nhôù coù kích thöôùc laø hai töø trong mieàn boä ñeäm coång ra soá. - PIB: Chæ oâ nhôù coù kích thöôùc 1byte thuoäc vuøng peripheral input. Thöôøng laø ñòa chæ coång vaøo cuûa caùc module töông töï (I/O external input). - PIW: Chæ oâ nhôù coù kích thöôùc 1 töø (2byte) thuoäc vuøng peripheral input. Thöôøng laø ñòa chæ coång vaøo cuûa caùc module töông töï (I/O external input). - PID: Chæ oâ nhôù coù kích thöôùc 2 töø (4bytes) thuoäc vuøng peripheral input. Thöôøng laø ñòa chæ coång vaøo cuûa caùc module töông töï (I/O external input). - PQB: Chæ oâ nhôù coù kích thöôùc 1 byte thuoäc vuøng peripheral output. Thöôøng laø ñòa chæ coång ra cuûa caùc module töông töï (I/O external input). - PQW: Chæ oâ nhôù coù kích thöôùc 1 töø (2bytes) thuoäc vuøng peripheral output. Thöôøng laø ñòa chæ coång ra/vaøo cuûa caùc module töông töï (I/O external input). Trang 18 Thu vien DH SPKT TP. HCM -
  23. Truong DH SPKT TP. HCM - PQD: Chæ oâ nhôù coù kích thöôùc 2 töø (4bytes) thuoäc vuøng peripheral output. Thöôøng laø ñòa chæ coång ra vaøo cuûa caùc module töông töï (I/O external input). - DBX: Chæ oâ nhôù coù kích thöôùc 1 bit trong khoái döõ lieäu DB ñöôïc môû baèng leänh OPN DB( open data block) - DBB: Chæ oâ nhôù coù kích thöôùc 1 byte trong khoái döõ lieäu DB ñöôïc môû baèng leänh OPN DB( open data block) - DBW: Chæ oâ nhôù coù kích thöôùc 1 töø trong khoái döõ lieäu DB ñöôïc môû baèng leänh OPN DB( open data block) - DBD: Chæ oâ nhôù coù kích thöôùc 2 töø trong khoái döõ lieäu DB ñöôïc môû baèng leänh OPN DB( open data block) - DBx.DBX: Chæ tröïc tieáp oâ nhôù coù kích thöôùc 1 bit trong khoái döõ lieäu DBx, vôùi x laø chæ soá cuûa khoái DB.Ví duï:DB5.DBX 1.6 - DBx.DBB: Chæ tröïc tieáp oâ nhôù coù kích thöôùc 1 byte trong khoái döõ lieäu DBx, vôùi x laø chæ soá cuûa khoái DB.Ví duï:DB5.DBB 1. - DBx.DBW: Chæ tröïc tieáp oâ nhôù coù kích thöôùc 1 töø trong khoái döõ lieäu DBx, vôùi x laø chæ soá cuûa khoái DB.Ví duï:DB5.DBW 1. - DBx.DBD: Chæ tröïc tieáp oâ nhôù coù kích thöôùc 2 töø trong khoái döõ lieäu DBx, vôùi x laøBan chæ quyensoá cuûa © Truongkhoái DB.Ví DH Su duï: phamDB5.DBD Ky thuat1. TP. HCM - DIX: Chæ oâ nhôù coù kích thöôùc 1 bit trong khoái döõ lieäu DB ñöôïc môû baèng leänh OPN DI(Open distance data block) - DIB:Chæ oâ nhôù coù kích thöôùc 1 byte trong khoái döõ lieäu DB ñöôïc môû baèng leänh OPN DI(Open distance data block) - DBW:Chæ oâ nhôù coù kích thöôùc 1 töø trong khoái döõ lieäu DB ñöôïc môû baèng leänh OPN DI(Open distance data block) - DBD:Chæ oâ nhôù coù kích thöôùc 2 töø trong khoái döõ lieäu DB ñöôïc môû baèng leänh OPN DI(Open distance data block) - L: Chæ oâ nhôù coù kích thöôùc 1 bit trong mieàn döõ lieäu ñòa phöông (local block) cuûa caùc khoái chöông trình OB,FC,FB. - LB: Chæ oâ nhôù coù kích thöôùc 1 byte trong mieàn döõ lieäu ñòa phöông (local block) cuûa caùc khoái chöông trình OB,FC,FB. - LW: Chæ oâ nhôù coù kích thöôùc 1 töø trong mieàn döõ lieäu ñòa phöông (local block) cuûa caùc khoái chöông trình OB,FC,FB. - LD: Chæ oâ nhôù coù kích thöôùc 2 töø trong mieàn döõ lieäu ñòa phöông (local block) cuûa caùc khoái chöông trình OB,FC,FB. Trang 19 Thu vien DH SPKT TP. HCM -
  24. Truong DH SPKT TP. HCM b. Phaàn soá chæ ñòa chæ cuûa byte hoaëc cuûa bit trong mieàn nhôù ñaõ xaùc ñònh. - Neáu oâ nhôù ñaõ ñöôïc xaùc ñònh thoâng qua phaàn chöõ laø coù kích thöôùc 1 bit thì phaàn soá seõ goàm ñòa chæ cuûa byte vaø soá thöù töï cuûa bit trong byte ñoù ñöôïc taùch vôùi nhau baèng daáu chaám. Ví duï: I 1.3 // Chæ bit thöù 3 trong byte 1 cuûa mieàn nhôù boä ñeäm coång vaøo soá M 101.5 // Chæ bit thöù 5 trong byte 101 cuûa mieàn caùc bieán côø M. Q 4.5 // Chæ bit thöù 5 - Trong tröôøng hôïp oâ nhôù ñaõ ñöôïc xaùc ñònh laø byte, töø hoaëc töø keùp thì phaàn soá seõ laø ñòa chæ byte ñaàu tieân trong maûng byte cuaû oâ nhôù ñoù. Ví duï DIB 15 // Chæ oâ nhôù coù kích thöôùc 1 byte (byte 15) trong khoái DB ñaõ ñöôïc môû baèng leänh OPN DI DBW 18 // Chæ oâ nhôù coù kích thöôc 1 töø goàm 2 bytes 18 vaø 19 trong khoái DB ñaõ ñöôïc môû baèng leänh OPN DB DB2.DBW 15 // Chæ oâ nhôù coù kích thöôùc 2 bytes 15 vaø 16 trong khoái döõ lieäu DB2. MD 105 // Chæ oâ nhôù coù kích thöôùc 2 töø goàm 4 bytes 105, 106, 107, 108 trong mieàn nhôù caùc bieán côø M. Ban quyen © Truong DH Su pham Ky thuat TP. HCM 2.1.3 Thanh ghi traïng thaùi Khi thöïc hieân leänh, CPU seõ ghi nhaän laïi traïng thaùi cuûa pheùp tính trung gian cuõng nhö cuûa keát quaû vaøo moät thanh ghi ñaëc bieät 16 bits, ñöôïc goïi laø thanh ghi traïng thaùi ( Status Word). Maëc duø thanh ghi traïng thaùi naøy coù ñoä daøi 16 bits nhöng chæ söû duïng 9 bits vôùi caáu truùc nhö sau: 8 7 6 5 4 3 2 1 0 BR CC1 CC0 OV OS OR STA RLO FC FC (First check): Khi phaûi thöïc hieän moät daõy caùc leänh logic lieân tieáp nhau goàm caùc pheùp tính , vaø nghòch ñaûo, bit FC coù giaù trò baèng 1. Noùi caùch khaùc, FC =0 khi daõy leänh logic tieáp ñieåm vöøa ñöôïc keát thuùc. Ví duï: A I0.2 //FC = 1 AN I0.3 //FC = 1 = Q4.0 //FC = 0 RLO (Result of logic operation): Keát quaû töùc thôøi cuûa pheùp tính logic vöøa ñöôïc thöïc hieän. Ví duï leänh A I0.3 Trang 20 Thu vien DH SPKT TP. HCM -
  25. Truong DH SPKT TP. HCM - Neáu tröôùc khi thöïc hieän bit FC = 0 thì coù taùc duïng chuyeån noäi dung cuûa coång vaøo soá I 0.3 vaøo bit traïng thaùi RLO. - Neáu tröùôc khi thöïc hieän bit FC = 1 thì coù taùc duïng thöïc hieän pheùp tính  giöõa RLO vaø giaù trò logic coång vaøo I 0.3. Keát quaû cuûa pheùp tính ñöôïc ghi laïi vaøo bit traïng thaùi RLO. STA (Status bit) : Bit traïng thaùi naøy luoân coù giaù trò logic cuûa tieáp ñieåm ñöôïc chæ ñònh trong leänh. Ví duï caû hai leänh: A I 0.3 AN I0.3 ñeàu gaùn cho bit STA cuøng moät giaù trò laø noäi dung cuûa coång vaøo soá I 0.3. OR: Ghi laïi giaù trò cuûa pheùp tính logic  cuoái cuøng ñöôïc thöïc hieän ñeå phuï giuùp cho vieäc thöïc hieän pheùp toaùn  sau ñoù. Ñieàu naøy laø caàn thieát vì trong moät bieåu thöùc haøm hai trò, pheùp tính  bao giôø cuõng phaûi ñöôïc thöïc hieän tröôùc caùc pheùp tính  . OS (Store overflow bit): Ghi laïi giaù trò bit bò traøn ra ngoaøi maûng oâ nhôù. OV (Overflow bit): Bit baùo keát quaû pheùp tính bò traøn ra ngoaùi maûng oâ nhôù. CC0 vaø CC1(Condition code): Hai bit baùo traïng thaùi cuûa keát quaû pheùp tính vôi soá nguyeân, soá thöïc, pheùp dòch chuyeån hoaëc pheùp tính logic trong ACCU BR(Binary result bit): Bit traïng thaùi cho pheùp lieân keát hai loaïi ngoân ngöõ laäp Ban quyen © Truong DH Su pham Ky thuat TP. HCM trình STL. Chaúng haïn cho pheùp ngöôøi söû duïng coù theå vieát moät khoái chöông trình FB hoaëc FC treân ngoân ngöõ STL nhöng goïi vaø söû duïng chuùng trong moät chöông trình khaùc vieát treân LAD. Ñeå taïo ra ñöôïc moái lieân keát ñoù, ta caàn phaûi keát thuùc chöông trình trong FB, FC baèng leänh ghi: - 1 vaøo BR, neáu chöông trình chaïy khoâng coù loãi . - 0 vaøo BR, neáu chöông trình chaïy coù loãi. Khi söû duïng caùc khoái haøm ñaëc bieät cuûa heä thoáng (SFC hoaëc SFB), traïng thaùi laøm vieäc cuûa chöông trình cuõng ñöôïc thoâng baùo ra ngoaøi qua bit traïng thaùi BR nhö sau - 1 neáu SFC hay SFB thöïc hieän khoâng coù loãi. - 0 neáu coù loãi khi thöïc hieän SFC hay SFB. Chuù yù: Moät chöông trình vieát treân STL (tuyø thuoäc vaøo töøng ngöôøi laäp trình) coù theå bao goàm nhieàu Network. Moãi moät Network chöùa moät coâng ñoaïn cuï theå. ÔÛ moãi ñaàu Network, thanh ghi traïng thaùi nhaän giaù trò 0, chæ sau leänh ñaàu tieân cuûa Network, caùc bit traïng thaùi môùi thay ñoåi theo keát quaû pheùp tính. Network 1 Ñoaïn chöông trình 1 Network 2 Ñoaïn chöông trình 2 Trang 21 Thu vien DH SPKT TP. HCM -
  26. Truong DH SPKT TP. HCM Network 3 Ñoaïn chöông trình 3 2.2 CAÙC LEÄNH CÔ BAÛN 2.2.1 Nhoùm leänh logic Bao goàm caùc leänh sau  A And AN And Not O Or ON Or Not X ExOr XN ExOr Not a. Leänh gaùn Cuù phaùp = Toaùn haïng laø ñòa chæ I,Ban Q, quyenM, L, ©D. Truong DH Su pham Ky thuat TP. HCM Leänh gaùn giaù trò logic cuûa RLO tôùi oâ nhôù coù ñòa chæ ñöôïc chæ thò trong toaùn haïng. Leänh taùc ñoäng vaøo thanh ghi traïng thaùi (Status word) nhö sau (Kí hieäu – chæ noäi dung bit khoâng bò thay ñoåi, x laø bò thay ñoåi theo leänh): BR CC1 CC0 OV OS OR STA RLO FC - - - - - 0 x - 1 Ví duï: Thöïc hieän Q4.0 = I0.3 Network 1 A I0.3 //Ñoïc noäi dung cuûa I0.3 vaøo RLO = Q4.0 //Ñöa keát quaû ra coång Q4.0 b. Leänh thöïc hieän pheùp tính AND Cuù phaùp A Toaùn haïng laø döõ lieäu kieåu BOOL hoaëc ñòa chæ I, Q, M, L, D, T, C. Trang 22 Thu vien DH SPKT TP. HCM -
  27. Truong DH SPKT TP. HCM Neáu FC = 0 leänh seõ gaùn giaù trò logic cuûa toaùn haïng vaøo RLO. Ngöôïc laïi khi FC = 1 noù seõ thöïc hieän pheùp tính AND giöõa RLO vôùi toaùn haïng vaø ghi laïi keát quaû vaøo RLO. Leänh taùc ñoäng vaøo thanh ghi traïng thaùi ( Status word) nhö sau (kí hieäu – chæ noäi dung bit khoâng bò thay ñoåi, x laø bò thay ñoåi theo leänh): BR CC1 CC0 OV OS OR STA RLO FC - - - - - x x x 1 Ví duï1: Thöïc hieän Q4.0 = I0.3 AND I0.4 (maéc noái tieáp hai coâng taéc) Network 1 A I0.3 // Ñoïc noäi dung cuûa I0.3 vaøo RLO A I0.4 //Keát hôïp AND vôùi noäi dung coång I0.4 = Q4.0 //Ñöa keát quaû ra coång Q4.0 Ví duï 2: Ban quyen © Truong DH Su pham Ky thuat TP. HCM c. Leänh thöïc hieän pheùp tính AND vôùi giaù trò nghòch ñaûo Cuù phaùp AN Toaùn haïng laø döõ lieäu kieåu BOOL hoaëc ñòa chæ I, Q, M, L, D, T, C. Neáu FC = 0 leänh seõ gaùn giaù trò logic nghòch ñaûo cuûa toaùn haïng vaøo RLO. Ngöôïc laïi khi FC = 1 noù seõ thöïc hieän pheùp tính AND giöõa RLO vôùi giaù trò nghòch ñaûo cuûa toaùn haïng vaø ghi laïi keát quaû vaøo RLO. Leänh taùc ñoäng vaøo thanh ghi traïng thaùi ( Status word) nhö sau (kí hieäu – chæ noäi dung bit khoâng bò thay ñoåi, x laø bò thay ñoåi theo leänh): BR CC1 CC0 OV OS OR STA RLO FC - - - - - x x x 1 Trang 23 Thu vien DH SPKT TP. HCM -
  28. Truong DH SPKT TP. HCM Ví duï1: Thöïc hieän Q4.0 = I0.3 AND NOT (I0.4) (maéc noái tieáp hai coâng taéc) Network 1 A I0 3 // Ñoïc noäi dung cuûa I0.3 vaøo RLO AN I0.4 //Keát hôïp AND vôùi ñaûo noäi dung coång I0.4 = Q4.0 //Ñöa keát quaû ra coång Q4.0 Ví duï 2 d. Leänh OR Cuù phaùp O Ban quyen © Truong DH Su pham Ky thuat TP. HCM Toaùn haïng laø döõ lieäu kieåu BOOL hoaëc ñòa chæ I, Q, M, L, D, T, C. Neáu FC = 0 leänh seõ gaùn giaù trò logic cuûa toaùn haïng vaøo RLO. Ngöôïc laïi khi FC = 1 noù seõ thöïc hieän pheùp tính OR giöõa RLO vôùi toaùn haïng vaø ghi laïi keát quaû vaøo RLO. Leänh taùc ñoäng vaøo thanh ghi traïng thaùi ( Status word) nhö sau (kí hieäu – chæ noäi dung bit khoâng bò thay ñoåi, x laø bò thay ñoåi theo leänh): BR CC1 CC0 OV OS OR STA RLO FC - - - - - x x x 1 Ví duï Trang 24 Thu vien DH SPKT TP. HCM -
  29. Truong DH SPKT TP. HCM e. Leänh OR NOT Cuù phaùp ON Toaùn haïng laø döõ lieäu kieåu BOOL hoaëc ñòa chæ I, Q, M, L, D, T, C. Neáu FC = 0 leänh seõ gaùn giaù trò logic cuûa toaùn haïng vaøo RLO. Ngöôïc laïi khi FC = 1 noù seõ thöïc hieän pheùp tính OR giöõa RLO vôùi NOT toaùn haïng vaø ghi laïi keát quaû vaøo RLO. Leänh taùc ñoäng vaøo thanh ghi traïng thaùi ( Status word) nhö sau (kí hieäu – chæ noäi dung bit khoâng bò thay ñoåi, x laø bò thay ñoåi theo leänh): Ví duï f. Leänh thöïc hieän pheùpBan tính quyen AND © Truong vôùi moät DH bieåuSu pham thöùc Ky thuat TP. HCM Cuù phaùp A( Neáu FC = 0 leänh seõ gaùn giaù trò logic cuûa bieåu thöùc trong daáu ngoaëc sau noù vaøo RLO. Ngöôïc laïi khi FC = 1 noù seõ thöïc hieän pheùp tính AND giöõa RLO vôùi giaù trò logic cuûa bieåu trong daáu ngoaëc sau noù vaø ghi laïi keát quaû vaøo RLO. Leänh taùc ñoäng vaøo thanh ghi traïng thaùi ( Status word) nhö sau (kí hieäu – chæ noäi dung bit khoâng bò thay ñoåi, x laø bò thay ñoåi theo leänh): Ví du Q0.4 = (( I0.0 OR M10.0) AND (I0.2 OR M10.3)) AND (M10.1) Trang 25 Thu vien DH SPKT TP. HCM -
  30. Truong DH SPKT TP. HCM g. Leänh thöïc hieän pheùp tính AND vôùi giaù trò nghòch ñaûo cuûa moät bieåu thöùc Cuù phaùp AN( Neáu FC = 0 leänh seõ gaùn giaù trò logic cuûa bieåu thöùc trong daáu ngoaëc sau noù vaøo RLO. Ngöôïc laïi khi FC = 1 noù seõ thöïc hieän pheùp tính AND giöõa RLO vôùi giaù trò nghòch ñaûo logic cuûa bieåu trong daáu ngoaëc sau noù vaø ghi laïi keát quaû vaøo RLO. Leänh taùc ñoäng vaøo thanh ghi traïng thaùi ( Status word) nhö sau (kí hieäu – chæ noäi dung bit khoâng bò thay ñoåi, x laø bò thay ñoåi theo leänh): h. Leänh thöïc hieän pheùp tính OR vôùi gia 1trò moät bieåu thöùc Cuù phaùp O( Neáu FC = 0 leänh seõ gaùn giaù trò logic cuûa bieåu thöùc trong daáu ngoaëc sau noù vaøo RLO. Ngöôïc laïi khi FC = 1 noù seõ thöïc hieän pheùp tính OR giöõa RLO vôùi giaù trò logic cuûa bieåu trong daáuBan ngoaëc quyen sau © Truong noù vaø DH ghi Su laïi pham keát quaûKy thuat vaøo RLO.TP. HCM Leänh taùc ñoäng vaøo thanh ghi traïng thaùi ( Status word) nhö sau (kí hieäu – chæ noäi dung bit khoâng bò thay ñoåi, x laø bò thay ñoåi theo leänh): i. Leänh thöïc hieän pheùp tính OR vôùi nghòch ñaûo giaù trò moät bieåu thöùc Cuù phaùp ON( Neáu FC = 0 leänh seõ gaùn giaù trò logic cuûa bieåu thöùc trong daáu ngoaëc sau noù vaøo RLO. Ngöôïc laïi khi FC = 1 noù seõ thöïc hieän pheùp tính OR giöõa RLO vôùi giaù trò nghòch ñaûo logic cuûa bieåu trong daáu ngoaëc sau noù vaø ghi laïi keát quaû vaøo RLO. Leänh taùc ñoäng vaøo thanh ghi traïng thaùi ( Status word) nhö sau (kí hieäu – chæ noäi dung bit khoâng bò thay ñoåi, x laø bò thay ñoåi theo leänh): Trang 26 Thu vien DH SPKT TP. HCM -
  31. Truong DH SPKT TP. HCM j. Leänh ghi giaù trò logic 1 vaøo RLO Cuù phaùp SET Leänh khoâng coù toaùn haïng vaø coù taùc duïng ghi 1 vaøo RLO Leâïnh taùc ñoäng vaøo thanh ghi traïng thaùi (Status word) nhö sau: BR CC1 CC0 OV OS OR STA RLO FC - - - - - - 1 1 0 k. Leänh gaùn coù ñieàu kieän giaù trò logic 1 vaøo oâ nhôù Cuù phaùp S Toaùn haïng laø ñòa chæ bit I, Q, M, L, D. Neáu RLO = 1, leänh seõ ghi giaù trò 1 vaøo oâ nhôù coù ñòa chæ cho trong toaùn haïng. Leänh taùc ñoäng vaøo thanh ghi traïng thaùi (Status word) nhö sau: BR CC1 CC0 OV OS OR STA RLO FC - - - - - 0 x - 0 Ban quyen © Truong DH Su pham Ky thuat TP. HCM l. Leänh gaùn coù ñieàu kieän giaù trò logic 0 vaøo oâ nhôù Cuù phaùp R Toaùn haïng laø ñòa chæ bit I, Q, M, L, D. Neáu RLO = 1, leänh seõ ghi giaù trò 0 vaøo oâ nhôù coù ñòa chæ cho trong toaùn haïng. Leänh taùc ñoäng vaøo thanh ghi traïng thaùi (Status word) nhö sau: BR CC1 CC0 OV OS OR STA RLO FC - - - - - 0 x - 0 m. Leänh phaùt hieän söôøn leân Cuù phaùp FP Toaùn haïng laø ñòa chæ bit I, Q, M, L, D vaø ñöôïc söû duïng nhö moät bieán côø ñeå ghi nhaän laïi giaù trò cuûa RLO taïi vò trí naøy trong chöông trình, nhöng cuûa voøng queùt tröôùc. Taïi moãi voøng leänh seõ kieåm tra: neáu bieán côø (toaùn haïng) coù giaù trò 0 vaø Trang 27 Thu vien DH SPKT TP. HCM -
  32. Truong DH SPKT TP. HCM RLO coù giaù trò 1 thì seõ ghi 1 vaøo RLO, caùc tröôøng hôïp khaùc thì ghi 0, ñoàng thôøi chuyeån noäi dung cuûa RLO vaøo laïi bieán côø. Nhö vaäy RLO seõ coù giaù trò 1 trong moät voøng queùt khi coù söôøn leân trong RLO. Ví duï: Leänh phaùt hieän söôøn leân. A I0.0 FP M10.0 = Q4.5 Seõ töông ñöông vôùi ñoaïn chöông trình sau Giaù trò RLO taïi voøng Giaù trò RLO taïi queùt tröôùc ñöôïc nhôù voøng queùt hieän thôøi A I0.0 vaøo M10.0 AN M10.0 = Q4.5 Hình 2.1. Hình moâ taû leänh FP A I0.0 = M10.0 Leänh taùc ñoäng vaøo thanh ghi traïng thaùi (Status word) nhö sau: BR CC1 CC0 OV OS OR STA RLO FC - - - - - 0 x x 1 n. Leänh phaùt hieän söôøn xuoáng Ban quyen © Truong DH Su pham Ky thuat TP. HCM Cuù phaùp FN Toaùn haïng laø ñòa chæ bit I, Q, M, L, D vaø ñöôïc söû duïng nhö moät bieán côø ñeå ghi nhaän laïi giaù trò cuûa RLO taïi vò trí naøy trong chöông trình, nhöng cuûa voøng queùt tröôùc. Taïi moãi voøng leänh seõ kieåm tra: neáu bieán côø (toaùn haïng) coù giaù trò 1 vaø RLO coù giaù trò 0 thì seõ ghi 1 vaøo RLO, caùc tröôøng hôïp khaùc thì ghi 0, ñoàøng thôøi chuyeån noäi dung cuûa RLO vaøo laïi bieán côø. Nhö vaäy RLO seõ coù giaù trò 1 trong moät voøng queùt khi coù söôøn xuoáng trong RLO.Leänh taùc ñoäng vaøo thanh ghi traïng thaùi (Status word) nhö sau: BR CC1 CC0 OV OS OR STA RLO FC - - - - - 0 x x 1 2.2.2 Leänh ñoïc, ghi trong ACCU Caùc CPU cuûa S7_300 thöôøng coù hai thanh ghi Accunulator (ACCU) kí hieäu laø ACCU1 vaø ACCU2. Hai thanh ghi ACCU coù cuøng kích thöôùc 32 bits (1 töø keùp). Moïi pheùp tính toaùn treân soá thöïc, soá nguyeân, caùc pheùp tính logic vôùi maûng Trang 28 Thu vien DH SPKT TP. HCM -
  33. Truong DH SPKT TP. HCM nhieàu bits ñeàu ñöôïc thöïc hieän treân hai thanh ghi naøy. Chuùng coù caáu truùc nhö sau: 31 24 23 16 15 8 7 0 ACCU1 Byte Byte Byte Byte thaáp cao thaáp cao ACCU2 Byte Byte Byte Byte thaáp cao thaáp cao Töø cao Töø thaáp a. Leänh ñoïc vaøo ACCU Cuù phaùp L Toaùn haïng laø döõ lieäu (soá nguyeân, thöïc, nhò phaân) hoaëc ñòa chæ. Neáu laø ñòa chæ thì - Byte IB, QB, PIB, MB, LB, DBB, DIB trong khoaûng 0 – 65535 - TöØ IW, QW, PIW, MW, LW, DBW, DIW trong khoaûng 0 – 65534 - Töø keùp ID, QD, PID, MD, LD, DBD, DID trong khoaûng töø 0 – 65534 Neáu laø döõ lieäu thì caùc daïng döõ lieäu hôïp leä cuûa toaùn haïng cho trong baûng sau Ban quyen © Truong DH Su pham Ky thuat TP. HCM Trang 29 Thu vien DH SPKT TP. HCM -
  34. Truong DH SPKT TP. HCM Baûng 2.1: Caùc daïng döõ lieäu hôïp leä cuûa toaùn haïng Döõ lieäu Ví duï Giaûi thích L +5 Ghi 5 vaøo töø thaáp cuûa ACCU1 B#( , ) L B#(1,8) Ghi 1 vaøo byte cao cuûa töø thaáp vaø 8 vaøo byte thaáp cuûa töø thaáp trong ACCU L# L L#5 Ghi 5 vaøo ACCU1(soá nguyeâ 32 bits) 16# L B#16#2E Döõ lieäu daïng cô soá 16 L W#A2EB L DW#2C1E_A2EB 2# L 2#11001101 Döõ lieäu daïng cô soá 2 ‘ ’ L ‘AB’ Döõ lieäu daïng kí töï L ‘ABCD’ C# L C#1000 Döõ lieäu laø giaù trò ñaët tröôùc cho boä ñeám S5TIME# L S5TIME#2S Döõ lieäu laø giaù trò ñaët tröôùc cho Timer (PV) P# L P#M10.2 Döõ lieäu laø ñòa chæ oâ nhôù(duøng cho con troû) D# L D#2000-6-20 Döõ lieäu laø giaù trò veà Ban quyen © Truong DH Su pham Ky thuat TP. HCM ngaøy/thaùng/naêm(16bits) T# L T#0H_1M_10S Döõ lieäu veà thôøi gian giôø/phuùt/giaây(32bits) Leänh L coù taùc duïng chuyeån döõ lieäu hoaëc noäi dung cuûa oâ nhôù coù ñòa chæ laø toaùn haïng vaøo thanh ghi ACCU1. Noäi dung cuõ cuûa ACCU1 ñöôïc chuyeån vaøo ACCU2. Trong tröôøng hôïp giaù trò chuyeån vaøo coù kích thöôùc nhoû hôn töø keùp thì chuùng seõ ñöôïc ghi vaøo theo thöù töï byte thaáp cuûa töø thaáp, byte cao cuûa töø thaáp, byte thaáp cuûa töø cao, byte cao cuûa töø cao. Nhöõng bit coøn troáng trong ACCU1 ñöôïc ghi 0. Ví duï1 L IB0 seõ chuyeån noäi dung cuûa IB0 vaøo ACCU1 nhö sau 31 24 23 16 15 8 7 0 ACCU1 0 0 0 IB 0 Ví duï 2 L MW20 //seõ chuyeån noäi dung cuûa MW20 goàm 2 bytes MB20, MB21 vaøo ACCU1 theo thöù töï 31 24 23 16 15 8 7 0 Trang 30 Thu vien DH SPKT TP. HCM -
  35. Truong DH SPKT TP. HCM ACCU1 0 0 MB20 MB21 Leänh khoâng söûa ñoåi thanh ghi traïng thaùi (Status word). Ví duï 3 L 100 // ACCU1 =100 L 200 // ACCU1 =200, ACCU2=100 b. Leänh chuyeån noäi dung cuûa ACCU1 tôùi oâ nhôù. Cuù phaùp T Toaùn haïng laø ñaïi chæ: - Byte IB, QB, PIB, MB, LB, DBB, DIB trong khoaûng 0 – 65535 - TöØ IW, QW, PIW, MW, LW, DBW, DIW trong khoaûng 0 – 65534 - Töø keùp ID, QD, PID, MD, LD, DBD, DID trong khoaûng töø 0 - 65534 Leänh chuyeån noäi dung cuûa ACCU1 vaøo oâ nhôù coù ñòa chæ laø toaùn haïng. Leänh khoâng thay ñoåi noäi dungBan cuûa quyen ACCU2.Trong © Truong DH Sutröôøng pham hôïp Ky oâthuat nhôù TP.coù kíchHCM thöôùc nhoû hôn töø keùp thì noäi dung cuûa ACCU1 ñöôïc chuyeån ra theo thöù töï byte thaáp cuûa töø thaáp, byte cao cuûa töø thaáp, byte thaáp cuûa töø cao, byte cao cuûa töø cao. Ví duï T QB0 seõ chæ chuyeån noäi dung cuûa byte thaáp cuûa töø thaáp trong ACCU1 vaøo IB0 vaø leänh T MW20 seõ chæ chuyeån byte cao cuûa töø thaáp vaøo MW20, byte thaáp cuûa töøø thaáp vaøo MW21. Leänh khoâng söûa ñoåi thanh ghi traïng thaùi (Status word). Trang 31 Thu vien DH SPKT TP. HCM -
  36. Truong DH SPKT TP. HCM CHÖÔNG 3 NGOÂN NGÖÕ GRAPH VAØ ÖÙNG DUÏNG Khi laäp trình cho PLC söû duïng khoái FB thì chuùng ta coù theå söû duïng ngoân ngöõ Graph. Ngoân ngöõ naøy raát thuaän lôïi trong nhöõng heä thoáng ñieàu khieån tuaàn töï. Löu yù trong khi caøi ñaët phaàn meàm Step7 ta phaûi choïn caøi ñaët ngoân ngöõ naøy. 3.1 Tạo một khối FB dưới dạng ngôn ngữ Graph 3.1.1. Tạo một khối FB Graph Bước 1: Double click vào folder Blocks. Bước 2: Chọn trên thanh Menu: Insert > S7 Block > Function Block. Bước 3: Một hộp thoại “ Properties” xuất hiện. Chọn ngôn ngữ lập trình là Graph Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 3.1. Choïn ngoân ngöõ Graph khi laäp trình treân khoái FB Rồi chọn OK. Như vậy kết quả là một khối FB1 được tạo ra trong folder Blocks 3.1.2. Viết chương trình theo kieåu tuần tự Ñeå tieän theo doõi xeùt ví duï ñieàu khieån khôûi ñoäng SAO/TAMGIAC cuûa ñoäng cô 3 pha nhö sau Động cơ không đồng bộ 3 pha rô to lồng sóc phải được vận hành cả 2 chiều quay. Để khắc phục được dòng khởi động lớn, động cơ phải được khởi động với chế độ kết nối sao - tam giác Trang 32 Thu vien DH SPKT TP. HCM -
  37. Truong DH SPKT TP. HCM YÊU CẦU Khi nhấn nút S1 thì động cơ chạy và quay cùng chiều kim đồng hồ, và động cơ sẽ quay theo chiều ngược lại nếu nhấn nút S2. Công tắc tơ chính K1 cho chiều quay cùng chiều kim đồng hồ và K2 cho chiều ngược lại, kích hoạt công tắc tơ chế độ sao là K4 và một timer. Sau một khoảng thời gian khởi động gần 5 s, động cơ tự động ngắt chế độ chạy sao. Công tác tơ chính K1 vẫn còn được kích hoạt và ngắt sự kết nối với chế độ chạy sao – công tắc tơ K4 trước khi chuyển sang kết nối với chế độ tam giác – công tắc tơ K3. Chiều quay của động cơ chỉ được thay đổi khi động cơ đã được tắt trước đó. Động cơ chỉ có thể được tắt khi nhấn nút S0, độc lập với trạng thái hoạt động . Trạng thái ON của động cơ phải được hiển thị qua đèn H1 và H2 tuỳ thuộc chiều quay của động cơ. Khi động cơ quá tải nó sẽ được tự động tắt qua rơ le Q1(S5). Hoaït ñoäng Sao/tamgiac cuûa ñoäng cô 3 pha ñöôïc trình baøy theo löu ñoà giaûi thuaät sau START N S1=1 Ban quyenY © Truong DH Su pham Ky thuat TP. HCM N S2=1 Y ĐC QUAY CÙNG ĐC QUAY NGƯỢC CHIỀU KIM ĐỒNG CHIỀU KIM ĐỒNG HỒ HỒ (K1) Ở CHẾ ĐỘ (K2) Ở CHẾ ĐỘ SAO SAO (K4) (K3) N N SAU SAU 10S 10S Y Y ĐC QUAY CÙNG ĐC QUAY CÙNG CHIỀU KIM ĐỒNG CHIỀU KIM ĐỒNG HỒ (K1) Ở CHẾ ĐỘ HỒ (K1) Ở CHẾ ĐỘ TAM GIÁC (K3) TAM GIÁC (K3) S0=1 Y Hình 3.2. Löu ñoàng giaûi thuaät cuûa khôûi ñoäng Sao/tamgiac Trang 33 Thu vien DH SPKT TP. HCM -
  38. Truong DH SPKT TP. HCM Baûng ñòc chæ vaøo ra Ngoõ vaøo Ngoõ ra THIẾT BỊ ĐỊA CHỈ THIẾT BỊ NGOÀI ĐỊA CHỈ NGOÀI S0 I0.0 Q1 Q01 S1 I0.1 Q2 Q0.2 S2 I0.2 Q3 Q0.3 S5 I0.5 Q4 Q0.4 Trình töï laäp trình nhö sau Sau khi bắt đầu làm việc với S7 Graph bằng cách double click vào khối FB1 thì hệ thống được chèn vào một STEP đầu tiên và một TRANSITION đầu tiên. Có 2 phương pháp để tạo cấu trúc Sequencer. Phương pháp 1: Ở chế độ “Direct”: Insert > Direct Phương pháp 2: Ở chế độ “Drap-and-Drop”: Insert > Drap-and-Drop Sau đây chỉ trình bày cách viết theo phương pháp 1  Bước 1: Chọn transition 1 và nhấp chuột vào biểu tượng một lần Ban quyen © Truong DH Su pham Ky thuat TP. HCM Kết quả tạo ra một step 2. Tại step này động cơ thực hiện chế độ quay cùng chiều kim đồng hồ, và mạch được kết nối dạng SAO.  Bước 2: Chọn step 2 và chọn biểu tượng Điều này sẽ mở ra một nhánh xen vào cho chế độ động cơ cũng quay cùng chiều kim đồng hồ nhưng mạch được kết nối dạng TAM GIÁC. Nhánh này bắt đầu với transition 3 (T3)  Bước 3: Tiếp tục với con chuột đang ở tại vị trí T3, nhấp chuột chọn biểu tượng Và sẽ được chèn vào step 3 cùng với transition 4  Bước 4: Chọn step 1 và chọn biểu tượng Trang 34 Thu vien DH SPKT TP. HCM -
  39. Truong DH SPKT TP. HCM Điều này sẽ mở ra một nhánh xen vào cho chế độ động cơ ngược chiều kim đồng hồ. Nhánh này bắt đầu với transition 5 (T5)  Bước 5: Tương tự như nhánh chính ứng với chế độ quay của động cơ là cùng chiều kim đồng hồ.Vẫn để con chuột tại transition 5 và nhấp chuột vào biểu tượng sau một lần Kết quả tạo ra một step 4 và transition 6. Tại step này động cơ thực hiện chế độ quay ngược chiều kim đồng hồ, và mạch được kết nối dạng SAO. tiếp tục thực hiện giống như nhánh chinh ta được mạch như sau:  Bước 6: Và bấy giờ ta hoàn thành cấu trúc của một Sequencer bằng cách đầu tiên ta chọn transition 1 (T1) rồi nhấp chuột chọn biểu tượng và rồi chọn step1 hoặc gõ vào số “1” Ban quyen © Truong DH Su pham Ky thuat TP. HCM Trang 35 Thu vien DH SPKT TP. HCM -
  40. Truong DH SPKT TP. HCM Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 3.2. Taïo nhaùnh trong Graph 3.2. Viết chương trình các ACTION cho các step Cũng có 2 phương pháp để viiết chương trình các action cho các step và các transition: Direct và Drap-and-Drop Trang 36 Thu vien DH SPKT TP. HCM -
  41. Truong DH SPKT TP. HCM Sau đây sẽ sử dụng phương pháp Drap-and-Drop : Insert > Drap-and-Drop Bước 1: Chọn trên thanh menu Insert > Action Kết quả là: Trên con chuột sẽ xuất hiện biểu tượng sau Bước 2: chèn dòng action rỗng bằng cách nhấp chuột vào ô action Bước 3: Enter vào các action Một action bao gồm 1 lệnh và 1 địa chỉ. Trong ngoân ngöõ Graph coù 4 lệnh hay söû duïng : S Set ngõ ra R Reset ngõ ra D Delay 1 khoaûng thôøi gian (xem theâm S4 hình 3) C Ñeám söï kieän S1 CU C20 Söû duïng Counter C20 ñeám soá haønh ñoäng cuûa S1 S1 CR C20 Reset Counter C20 3.3. Viết chương trìnhBan các quyen TRANSITION © Truong DH Su pham Ky thuat TP. HCM Có các hàm logic “ Coâng tắc thường mở”, “Công tắc thường đóng”, “ Hàm so sánh” được sử dụng cho các điều kiện-CONDITION trong các transition. Viết chương trình cho các transition như sau: Bước 1: Chọn View >LAD Chèn vào công tắc thường mở Chèn vào công tắc thường đóng Chèn vào phép so sánh Bước 2: Sau khi nhấp chọn và chèn vào đúng vị trí có thể thoát ra bất cứ lúc nào bằng cách nhấn phím ESC Bước 3: Enter địa chỉ vào. Nhấp chuột vào vùng yêu cầu Rồi gõ vào đó địa chỉ hoặc kí hiệu của địa chỉ ( Ví dụ I0.0 hoặc I0.0_Nut_nhan_dung ) Bước 4: Trang 37 Thu vien DH SPKT TP. HCM -
  42. Truong DH SPKT TP. HCM Ban quyen © Truong DH Su pham Ky thuat TP. HCM Khi S4 chaïy ñöôïc 20 S thì M0.1 ñaûo traïng thaùi Trang 38 Thu vien DH SPKT TP. HCM -
  43. Truong DH SPKT TP. HCM Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 3.3. Chöông trình khôûi ñoäng sao/tamgiac duøng ngoân ngöõ Graph 3.4. Lưu và đóng chöông trình lại Khi lưu chöông trình lại, thì phaàn meàm tự động được kiểm tra (compile) Bước 1: Chọn trên thanh menu File > Save Kết quả là: một hộp thoại “Select Instance DB” được mở ra với thông số mặc định là DBx (vôùi x truøng vôùi x cuûa khoái FBx ví duï neáu FB1 thì DB1) Bước 2: Đồng ý với mặc định này bằng cách nhấp chọn “OK” Kết quả là: Khối dữ liệu “DB-Data block” tự động được tạo ra trong folder “Blocks” Bước 3: Đóng chöông trình lại bằng cách chọn File > Close Trang 39 Thu vien DH SPKT TP. HCM -
  44. Truong DH SPKT TP. HCM 3.5 Gọi chương trình từ trong khối FB1 vào khối OB1 Chương trình điều khiển động cơ được gọi vào trong khối OB1. Chúng ta có thể tạo khối OB1 viết dưới dạng LAD, FBD, STL, hoặc SCL ( Ở đây khối OB1 được tạo ra dưới dạng LAD. Chương trình của khối OB1 được biểu diễn như sơ đồ sau. Làm trình tự các bước như sau: Bước 1: Mở folder “Blocks” trong S7 program trong cửa sổ SIMATIC Manager Bước 2: Double- click vào khối OB1 Bước 3: Chọn ngôn ngữ viết dạng LAD bằng cách View > LAD Bước 4: Mở Overviews ra nếu chư có sẵn bằng cách View > Overwiews Rồi nhấp chọn FB, rồi double-click vào FB1 Bước 5: Gõ tên của khối dữ liệu “DB1” vào phía trên Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 3.4. Goïi khoái FB trong OB1 Bước 6: Lưu và đóng khối OB1 lại bằng cách File > Save rồi File >Close 3.6. Download chương trình xuống CPU và kiểm tra tuaàn töï chöông trình 3.6.1. Download chương trình xuống CPU Để cho phép download chương trình xuống CPU, ta phải download tất cả các khối ( DB1, FB1, OB1, FC70/71, FC72 và/hoặc FC73) xuống CPU theo các bước sau: Trang 40 Thu vien DH SPKT TP. HCM -
  45. Truong DH SPKT TP. HCM Bước 1: Mở cửa sổ chính SIMATIC Manager và chọn folder “ Blocks”. Bước 2: Chọn menu PLC> Download 3.6.2 Kiểm tra chương trình Để kiểm tra chương trình , đòi hỏi cần phải kết nối với với CPU Bước 1: Mở cửa sổ chính SIMATIC Manager. Bước 2: Mở Sequencer bằng cách double-click vào khối FB1. Bước 3: Chọn menu Debug > Monitor. Kết quả là: Trạng trái chương trình được hiển thị ( Step đầu tiên được kích hoạt). Step nào hoạt động được hiển thị màu xanh Ban quyen © Truong DH Su pham Ky thuat TP. HCM Trang 41 Thu vien DH SPKT TP. HCM -
  46. Truong DH SPKT TP. HCM Chöông 4 PHAÀN MEÀM STEP7 4.1 Sô löôïc veà phaàn meàm STEP7. STEP 7 laø moät phaàn meàm duøng ñeå phuïc vuï cho vieäc ñaët caáu hình vaø laäp trình cho caùc boä ñieàu khieån laäp trình ñöôïc (PLC_Programmable Logic Controller). Ñaây laø boä phaàn meàm do haõng Siemens thieát keá, bao goàm caùc version cô baûn sau :  STEP 7 Micro/Dos vaø STEP 7 Micro/Win daønh cho caùc öùng duïng chuaån, ñôn giaûn treân SIMATIC S7-200.  STEP 7 Mini daønh cho caùc öùng duïng chuaån, ñôn giaûn treân SIMATIC S7-300 vaø SIMATIC C7-620.  STEP 7 daønh cho caùc öùng duïng treân SIMATIC S7-300/S7-400, SIMATIC M7- 300/M7-400 vaø SIMATIC C7 vôùi caùc chöùc naêng roäng hôn: . Coù khaû naêng gaùn caùc thoâng soá cho caùc module haøm vaø caùc boä xöû lyù truyeàn thoâng. . Coù theå hoaït ñoäng ôû cheá ñoä nhieàu maùy tính. . Truyeàn thoâng döõBan lieäu quyen toaøn © Truongcuïc. DH Su pham Ky thuat TP. HCM . Truyeàn döõ lieäu theo söï kieän söû duïng caùc khoái haøm truyeàn thoâng (communication function blocks). . Ñaët caáu hình keát noái. 4.1.1 Caøi ñaët Step7. Yeâu caàu phaàn cöùng:  Heä ñieàu haønh : Windows 95, Windows 98 hay Windows NT.  Phaàn cöùng : . Boä xöû lyù 80486 hay cao hôn. . RAM: ít nhaát laø 32Mbytes. . Maøn hình, chuoät, baøn phím coù hoã trôï Win 95/98/NT. Caøi ñaët STEP 7:  Cho ñóa STEP 7 vaøo oå ñóa CD-ROM.  Chaïy chöông trình setup treân ñóa, cuõng gioáng nhö vieäc caøi ñaët caùc phaàn meàm khaùc. Tuy nhieân vieäc caøi ñaët STEP 7 coù vaøi ñieåm khaùc bieät so vôùi caùc phaàn meàm khaùc: Trang 42 Thu vien DH SPKT TP. HCM -
  47. Truong DH SPKT TP. HCM . Khai baùo soá hieäu saûn phaåm: soá hieäu saûn phaåm luoân ñi keøm theo ñóa. Do ñoù khi quaù trình caøi ñaët yeâu caàu soá hieäu saûn phaåm, baïn phaûi ñieàn ñaày ñuû caùc thoâng tin vaøo caùc muïc yeâu caàu. . Ñaêng kyù baûn quyeàn (AuthorsW): baûn quyeàn cuûa STEP 7 do Simens cung caáp thöôøng ñöôïc chöùa trong ñóa meàm rieâng (maøu ñoû). Ta coù theå ñaêng kyù baûn quyeàn ngay trong quaù trình caøi ñaët hay sau khi caøi ñaët phaàn meàm xong baïn chaïy chöông trình AuthorsW.exe coù trong danh saùch cuûa SIMATIC. 4.1.2 Caùc coâng vieäc khi laøm vieäc vôùi phaàn meàm STEP 7.  Laäp keá hoaïch cho boä ñieàu khieån.  Thieát keá caáu truùc chöông trình.  Khôûi ñoäng STEP 7.  Taïo caáu truùc project.  Ñaët caáu hình cho traïm.  Ñaët caáu hình maïng vaø caùc keát noái truyeàn thoâng.  Ñònh nghóa caùc kyù hieäu. Ban quyen © Truong DH Su pham Ky thuat TP. HCM  Taïo chöông trình.  Ñoái vôùi S7: taïo vaø ñaùnh giaù caùc döõ lieäu tham chieáu.  Ñaët caáu hình caùc thoâng ñieäp.  Ñaët caáu hình caùc bieán ñieáu khieån.  Download chöông trình xuoáng boä ñieàu khieån.  Kieåm tra chöông trình.  Quan saùt hoaït ñoäng vaø chaån ñoaùn loãi. 4.1.3. Set giao dieän PG/PC. Vôùi vieäc thieát laäp naøy, giuùp baïn thieát laäp kieåu keát noái giao tieáp giöõa thieát bò laäp trình (PC) vaø boä ñieàu khieån logic khaû trình (PLC).  Khi Set PG/PC Interfaces laàn ñaàu tieân, ta phaûi caøi ñaët module giao tieáp nhö sau: Trang 43 Thu vien DH SPKT TP. HCM -
  48. Truong DH SPKT TP. HCM  Choïn loaïi module thích hôïp.  Click choïn Install. Hình 4.1. Set giao dieän PG/PC  Trong hoäp thoaïi Set PG/PC Interfaces ta choïn loaïi card phuø hôïp chuaån giao tieáp heä thoáng maïng vaø click vaøo nuùt Properties  Hoäp thoaïi PropertiesBan quyen -© TruongPC Adapter DH Su hieänpham ra, Ky tathuat thieát TP. laäp HCM caùc thoâng soá giao tieáp caàn thieát nhö: ñòa chæ, toác ñoä truyeàn, 4.2 CAÙCH TAÏO 1 CHÖÔNG TRÌNH ÖÙNG DUÏNG VÔÙI STEP7 4.2.1. Caùc böôùc soaïn thaûo 1 Project Caùch xaây döïng caáu hình phaàn cöùng cho traïm PLC. Ví duï xaây döïng caáu hình phaàn cöùng cho 1 traïm nhö sau: - Phaàn cöùng cuûa traïm goàm moät thanh ray RACK-300 : thanh RAIL - Treân thanh naøy coù gaén caùc moâñun : nguoàn PS , CPU, DI/DO, AI, AO treân caùc SLOT Trong ñoù : . SLOT 1: coù gaén moâñun nguoàn “PS 307 5A vôùi maõ soá : 6ES7 307-1EA00- 0AA0 Trang 44 Thu vien DH SPKT TP. HCM -
  49. Truong DH SPKT TP. HCM . SLOT 2 vaø SLOT 3 : Coù gaén moâñun CPU –300 “CPU 315-2 DP vôùi maõ soá : 6ES7 315- 2AFO3-OABO- V1.2 ” moâñun naøy ñeå truyeàn döõ lieäu töø S7-300 baèng ñöôøng truyeàn maïng MPI vaø PROFIBUS vôùi toác ñoä 1.5 MB . SLOT 4 : Moâñun tín hieäu ngoõ vaøo/ ra digital DI8 /DO8 x24V/0.5A vôùi maõ soá : 6ES7 323-1BH00-0AA0 . SLOT 5 : Moâñun tín hieäu ngoõ vaøo analog AI 2x12bit vôùi maõ soá : 6ES7 331- 7KB02-0AB0 . SLOT 6 : Moâñun tín hieäu ngoõ ra analog A0 2x12bit vôùi maõ soá : 6ES7 332- 5HB01-0AB0 Chuù yù: ta khoâng theå ñaët caùc thaønh phaàn ôû cöûa soå beân phaûi vaøo cöûa soå beân traùi moät caùch tuyø tieän khoâng theo moät thöù töï. Thöôøng thì caùc thaønh phaàn ñöôïc ñaët vaøo caùc Slot ôû cöûa soå beân traùi theo thöù töï nhö sau: Slot 1: chæ söû duïng ñaët modul nguoàn. Ban quyen © Truong DH Su pham Ky thuat TP. HCM Slot 2: chæ söû duïng ñaët modul CPU. Slot 3: thoâng thöôøng ñeå roãng. Slot 4 tôùi Slot 11: duøng cho caùc module truyeàn thoâng xöû lyù( modul xuaát, modul nhaäp, modul vaøo ra töông töï ). Hình 4.2. Thöù töï saép xeáp cuûa caùc Slot treân moät Rack Trang 45 Thu vien DH SPKT TP. HCM -
  50. Truong DH SPKT TP. HCM 4.2.2. Thieát laäp phaàn cöùng cho traïm 1. Khôûi ñoäng phaàn meàm SIMATIC Manager Start -> SIMATIC Manager hoaëc doub_ click vaøo bieåu töôïng : Doub_click vaøo icon SIMATIC Manager Hình 4.2. Bieåu töôïng cuûa SIMATIC Manager 2. Taïo 1 Project môùi : File ->‘New Project’ Wizard Choïn ‘New Project’ Wizard Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 4.3. Taïo 1 Project môùi 3. Ñaët teân cho Project : 1.Ñaët teân Project 2.Choïn OK Hình 4.4. Ñaët teân cho Project Trang 46 Thu vien DH SPKT TP. HCM -
  51. Truong DH SPKT TP. HCM 4. Cheøn SIMATIC 300 Station : Insert -> station -> SIMATIC 300 Station Choïn SIMATIC 300 Station Hình 4.5. Cheøn 1 traïm SIMATIC môùi 5. Choïn SIMATIC 300Ban Station(1)quyen © Truong DH Su pham Ky thuat TP. HCM Choïn SIMATIC 300(1) Hình 4.6: Choïn traïm SIMATIC vöøa taïo 6. Môû caáu hình phaàn cöùng : Trang 47 Thu vien DH SPKT TP. HCM -
  52. Truong DH SPKT TP. HCM Click doub vaøo Hardware hoaëc vaøo Edit -> Open Object Choïn Open Object Hình 4.7: Môû caáu hình phaàn cöùng 7. Laáy thanh rail ôû slotBan 0 quyennaèm döôùi© Truong daáu DH + cuûaSu pham RACK Ky thuat : TP. HCM SIMATIC 300 -> RACK-300 -> doub- click Rail  Slot 1: moâñun nguoàn PS  Slot 2: moâñun CPU 300  Slot 4: moâñun SM-DI/DO  Slot 5: moâñun SM-AI  Slot 6: moâñun SM-A0 Doub_click vaøo Rail Hình 4.8: Vò trí cuûa moãi Slot 8. Click vaøo SLOT 1 Trang 48 Thu vien DH SPKT TP. HCM -
  53. Truong DH SPKT TP. HCM choïn moâñun nguoàn “PS 307 5A vôùi maõ soá : 6ES7 307-1EA00-0AA0, baèng caùch doub_click vaøo PS 307 5A Doub_click vaøo PS 307 5A Hình 4.9. Khai baùo ñòa chæ nguoàn 9. Töông töï nhö böôùc 8 click vaøo Slot 2 choïn moâñun CPU –300 “CPU 315-2 DP vôùi maõ soá : 6ES7 315- 2AFO3-OABO baèng caùch doub_click vaøo V1.2 Ban quyen © Truong DH Su pham Ky thuat TP. HCM luùc ñoù seõ xuaát hieän hoäp thoaïi “Properties” nhaäp ñòa chæ DP cuûa CPU laø 15 vaø nhaán phím NEW sau ñoù choïn OK  Nhaäp ñòa chæ CPU  Choïn New Hình 4.10: Khai baùo ñòa chæ vaø maïng keát noái Profibus Keát quaû seõ taïo ra : heä thoáng chuû (1) “PROFIBUS(1) : DP” Trang 49 Thu vien DH SPKT TP. HCM -
  54. Truong DH SPKT TP. HCM Hình 4.11: Khai baùo CPU 10. Click vaøo slot 4 : töø SM-300 choïn moâñun tín hieäu ngoõ vaøo/ ra digital DI8 /DO8 x24V/0.5A vôùi maõ soá : 6ES7 323-1BH00-0AA0 baèng caùch doub _click vaøo DI8 /DO8 x24V/0.5A Ban quyen © Truong DH Su pham Ky thuat TP. HCM 11. Click vaøo slot 5 töø SM-300 choïn moâñun tín hieäu ngoõ vaøo analog AI 2x12bit vôùi maõ soá : 6ES7 331-7KB02-0AB0 baèng caùch doub _click vaøo AI 2x12bit 12. Click vaøo slot 6 töø SM-300 choïn moâñun tín hieäu ngoõ ra analog A0 2x12bit vôùi maõ soá : 6ES7 332-5HB01-0AB0 baèng caùch doub _click vaøo A0 2x12bit 13. Sau khi thieát laäp phaàn cöùng xong ta tieán haønh löu vaø kieåm tra baèng caùch choïn menu Station > Save and Compile 14. Download caáu hình phaàn cöùng xuoáng döôùi CPU cuûa PLC baèng caùch choïn menu PLC -> Download Trang 50 Thu vien DH SPKT TP. HCM - 1.Choïn ‘Save and
  55. Truong DH SPKT TP. HCM Hình 4.12. Save vaø download caáu hình phaàn cöùng 4.2.3 Soaïn thaûo chöông trình cho caùc khoái logic Sau khi khai baùo xong caáu hình cöùng cho moät traïm PLC vaø quay trôû veà cöûa Ban quyen © Truong DH Su pham Ky thuat TP. HCM soå chính cuûa step7 ta seõ thaáy Step7 trong thö muïc SIMATIC 300(1) baây giôø coù theâm caùc thö muïc con CPU315-2DP, S7 Program(1), Sources, Blocks Môû cöûa soå SIMATIC manager leân vaø choïn ‘Block’ Môû taát caû caùc khoái logic (OB, FC, FB, DB) chöùa chöông trình öùng duïng seõ naèm trong thö muïc Block. Maëc ñònh saün trong thö muïc naøy ñaõ coù saün khoá OB1 1. Click ‘+’ Simatic 300(1) 2. Click ‘+’ S7 program(1) 3. choïn ‘Block’ Trang 51 Thu vien DH SPKT TP. HCM -
  56. Truong DH SPKT TP. HCM Hình 4.13. Choïn khoái Blocks Muoán soaïn thaûo chöông trình cho khoái OB1 ta doud-click vaøo bieåu töôïng OB1 beân nöûa cöûa soå beân phaûi Double –click vaøo khoái OB Hình 4.14: Laäp trình treân khoái OB1 Ñeå khai baùo vaø soaïn thaûo chöông trình cho caùc khoái OB khaùc hoaëc cho caùc khoái FC , FB hay DB, ta coù theåBan taïo quyen moät © Truongkhoái môùi DH ngaySu pham tröïc Ky tieáp thuat töø TP.chöông HCM trình soaïn thaûo baèng caùch cheøn theâm khoái môùi ñoù tröôùc töø cöûa soå chính cuûa step7 baèng phím Trang 52 Thu vien DH SPKT TP. HCM -
  57. Truong DH SPKT TP. HCM Insert -> S7 Block -> choïn kieåu khoái -> choïn soá khoái -> nhaán OK Choïn kieåu khoái Hình 4.15.Ban Cheøn quyen theâm © Truong khoái DH ñieàu Su pham khieån Ky thuat TP. HCM Trang 53 Thu vien DH SPKT TP. HCM -
  58. Truong DH SPKT TP. HCM CHÖÔNG 5 BOÄ HIEÄU CHÆNH PID, CAÙC HAØM XÖÛ LYÙ TÍN HIEÄU TÖÔNG TÖÏ VAØ ÖÙNG DUÏNG 5.1 Giôùi thieäu. Nhieàu naêm tröôùc ñaây boä ñieàu khieån PID ñöôïc coi laø boä ñieàu khieån lyù töôûng ñoái vôùi caùc ñoái töôïng coù moâ hình lieân tuïc. Boä PID thöïc söï laø boä ñieàu khieån ñoäng maø vieäc thay ñoåi caùc tham soá cuûa boä ñieàu khieån coù khaû naêng laøm thay ñoåi ñaëc tính ñoäng vaø tónh cuûa heä thoáng ñieàu khieån töï ñoäng. Boä ñieàu khieån PID thöïc chaát laø thieát bò ñieàu khieån thöïc hieän luaät ñieàu khieån ñöôïc moâ taû baèng phöông trình sau: 1 t u.(t) = k e(t) + e d  T e.(t) p D TI 0 trong ñoù e(t) laø tín hieäu vaøo, u(t) laø tín hieäu ra cuûa boä ñieàu khieån, kp laø heä soá khueách ñaïi cuûa luaät ñieàu khieån tyû leä , TI haèng soá thôøi gian tích phaân vaø TD laø haèng soá thôøi gian vi phaân . Boä hieäu Ñoái töôïng Bane(t) quyen © Truong DH Su pham Ky thuat TP. HCM Ngoõ vaøo u(t) ñieàu chænh PID Ngoõ ra - khieån Hình 5.1. Ñieàu khieån vôùi boä ñieàu khieån PID Vôùi boä ñieàu khieån PID, ngöôøi söû duïng deã daøng tích hôïp caùc luaät ñieàu khieån khaùc nhö luaät ñieàu khieån tæ leä (luaät P), ñieàu khieån tæ leä - tích phaân (luaät PI), ñieàu khieån tæ leä -vi phaân (luaät PD). Boä ñieàu khieån PID luoân laø moät phaàn töû khoâng theå thay theá ñöôïc trong caùc quaù trình töï ñoäng khoáng cheá nhieät ñoä, möùc, toác ñoä Moät trong nhöõng öùng duïng cuûa boä ñieàu khieån PID trong ñieàu khieån thích nghi vaø ñieàu khieån môø laø thöôøng xuyeân phaûi chænh ñònh laïi caùc tham soá cuûa noù cho phuø hôïp vôùi söï thay ñoåi khoâng bieát tröôùc cuûa ñoái töôïng cuõng nhö cuûa moâi tröôøng nhaèm ñaûm baûo ñöôïc caùc chæ tieâu chaát löôïng ñaõ ñeà ra trong heä thoáng. Neáu nhö ta ñaõ töï ñoäng hoaù ñöôïc coâng vieäc thay ñoåi tham soá naøy thì boä ñieàu khieån PID ñoù seõ laø moät boä ñieàu khieån beàn vöõng vôùi moïi taùc ñoäng cuûa nhieãu noäi cuõng nhö nhieãu ngoaïi leân heä thoáng. Trang 54 Thu vien DH SPKT TP. HCM -
  59. Truong DH SPKT TP. HCM Cuõng chính vì vaäy maø caùc thieát bò ñieàu khieån quaù trình nhö DCS Disbuted Control system, PLC Programmeble Logic Control,PCS Process Control System cuûa caùc haõng saûn xuaát thieát bò töï ñoäng treân theá giôùi khoâng theå thieáu ñöôïc module ñieàu khieån PID hoaëc cöùng hoaëc meàm. Ñeå söû duïng toát caùc module naøy, ngöôøi thieát keá phaûi naém ñöôïc caùc phöông phaùp choïn luaät ñieàu khieån vaø caùc tham soá cho boä ñieàu khieån. Trong phaàn meàm Step 7 coù nhieàu khoái FB ñeå hoå trôï vieäc vieát chöông trình ñieàu khieån thieát bò söû duïng luaät hieäu chænh PID, nhö FB40,FB41, FB58, FB59 5.2 Moâñun meàm FB58 5.2.1 Giôùi thieäu Sô ñoà khoái FB58 Ban quyen © Truong DH Su pham Ky thuat TP. HCM Trang 55 Thu vien DH SPKT TP. HCM -
  60. Truong DH SPKT TP. HCM Hình 5.2. Sô ñoà khoái cuûa khoái FB58 Hình 5.3. Caùc caâu leänh cuûa FB58 Ban quyen © Truong DH Su pham Ky thuat TP. HCM Ñieåm Setpoint Ñieåm Setpoint ñaët ôû ngoõ vaøo SP- INT ôû daïng soá thöïc nhö moät ñaïi löôïng vaät lí hoaëc tæ leä phaàn traêm. Ñieåm setpoint vaø giaù trò xöû lí thöôøng taïo thaønh sai soá phaûi coù cuøng moät ñôn vò Söï löïa choïn giaù trò xöû lí (PVPER_ON) Tuyø thuoäc vaøo PVER ON, giaù trò coù theå coù ñöôïc töø thieát bò ngoaïi vi hoaëc ôû daïng soá thöïc PVER-ON xöû lí giaù trò ngoõ vaøo: - TRUE: Giaù trò xöû lí ñöôïc ño thoâng qua thieát bò ngoaïi vi Analog (PIWxxx) taïi ngoõ vaøo PV PER. - FALSE: Giaù tri xöû lí coù ñöôïc ôû daïng soá thöïc ñaët taïi ngoõ vaøo PV-IN. Söï chuyeån ñoåi giaù trò xöû lí baèng haøm CRP-IN (PER-MOD) Haøm CRP_IN chuyeån giaù trò ngoaïi vi sang daïng soá thöïc tuyø thuoäc vaøo söï löïa choïn PER_MODE Trang 56 Thu vien DH SPKT TP. HCM -
  61. Truong DH SPKT TP. HCM Vieäc tieâu chuaån hoaù giaù trò xöû lí PV_NORM (PF_FAC, PV_OFFS) Haøm PV_NORM tính toaùn giaù trò ngoõ ra cuûa haøm CRP_IN nhö sau: "Output of PV_NORM" = "Output of CPR_IN" * PV_FAC + PV_OFFS Noù ñöôïc duøng vôùi yù ñònh: PV_FAC:nhö heä soá cuûa giaù trò xöû lí. PV_OFFS:söï offset cuûa giaù trò xöû lí. Söï tieâu chuaån hoaù nhieät ñoä sang tæ leä phaàn traêm: ñieåm setpoint ôû daïng %, ta phaûi chuyeån giaù trò nhieät ñoä ñöôïc ño sang tæ leä %. Söï tieâu chuaån hoaù tæ leä % sang nhieät ñoä: ñieåm setpoint ôû daïng nhieät ñoä ta phaûi chuyeån ñieän aùp/doøng ñieän. Vieäc tính toaùn caùc thoâng soá: - PV_FAC = range of PV_NORM/range of CRP_IN; - PV_OFFS = LL(PV_NORM) - PV_FAC * LL(CRP_IN); Vôùi: range: daûi,vuøng,mieàn LL : giôùi haïn döôùi Vôùi giaù trò maëc ñònh (PV_FAC = 1.0 vaø PV_OFFS = 0.0) thì söï tieâu chuaån hoaù seõ khoâng ñöôïc thích hôïp thì keát quaû giaù trò xöû lí laø ngoõ ra taïi PV. Ví duï vieäc tieâu chuaånBan hoaùquyen giaù © Truongtrò xöû líDH Su pham Ky thuat TP. HCM Neáu ñaët giaù trò setpoint laø tæ leä % vaø baïn coù mieàn nhieät ñoä laø (-20 ÷+85)oC thì baïn phaûi tieâu chuaån hoaù daûi nhieät ñoä thaønh tæ leä %. Sô ñoà döôùi ñaây trình baøy moät ví duï veà vieäc chuyeån mieàn nhieät ñoä (- 20÷+85)oC sang tæ leä töø 0 ÷100% Hình 5.4. Ví duï vieäc tieâu chuaån hoaù giaù trò xöû lí Trang 57 Thu vien DH SPKT TP. HCM -
  62. Truong DH SPKT TP. HCM Hình thöùc sai soá Söï khaùc bieät giöõa ñieåm setpoint vaø giaù trò xöû lí tröôùc khi bò ñöa vaøo mieàn cheát seõ taïo ra sai soá. Ñieåm setpoint vaø giaù trò xöû lí phaûi coù cuøng kieåu ñôn vò(% hoaëc ñaïi löôïng vaät lí) Mieàn cheát (Deadb_W) Vôùi moãi moät giaù trò, Deaband seõ ñöa ra moät khoaûng sai soá Neáu DEADB_W = 0 thì Deaband seõ bò giaûm söï kích hoaët. Sai soá ñöôïc ñaëc tröng baèng thoâng soá ER Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 5.5. Minh hoaï veà mieàn cheát Thuaät toaùn PID (GAIN, TI, TD, D_F) Sô ñoà döôùi ñaây laø sô ñoà khoái cuûa thuaät toaùn PID Trang 58 Thu vien DH SPKT TP. HCM -
  63. Truong DH SPKT TP. HCM Hình 5.6. Sô ñoà khoái cuûa thaät toaùn PID Thuaät toaùn PID hoaït ñoäng nhö moät thuaät toaùn veà söï ñònh vò. Caùc cô caáu tæ leä,tích phaân,vi phaân(DIF) ñöôïc keát noái song song vaø coù theå ñöôïc kích hoaït hoaëc khoâng kích hoaït moät caùch rieâng leû. Ñieàu naøy cho pheùp boä P, boä PI, boä PID ñöôïc caáu hình. Söï ñieàu chænh cuûa ngöôøi ñieàu khieån seõ hoã trôï boä PI,PID. Boä ñieàu khieån nghòch ñöôïc thi haønh khi söû duïng moät boä GAIN (cooling controller). Neáu set giaù trò TI,TD ñeán giaù trò 0.0 thì baïn seõ thu ñöôïc moät boä ñieàu khieån P taïi ñieåm hoaït ñoäng Töøng böôùc ñaùp öùng trong mieàn thôøi gian laø: LMN_Sum(t):laø bieán toång trong cheá ñoä töï ñoäng cuûa boä ñieàu khieån ER (0) : laø söï thay ñoåi töøng böôùc cuûa sai soá ñaõ ñöôïc chuaån hoaù GAIN : ñoä lôïi cuûa boä ñieàu khieån TI : thôøi gian tích phaân TD :thôøi gian vi phaân D_ :heä soá vi phaân Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 5.7. Minh hoaï caùc thoâng soá cuûa thuaät toaùn PID Boä tích phaân (TI, I_ITL_ON, I_ITLVAL) Trong ñieàu khieån tay noù ñöôïc ñieàu chænh nhö sau: LMN_I = LMN - LMN_P - DISV. Neáu bieán vaän haønh (manipulated variable) bò giôùi haïn thì cô caáu I seõ bò ngöng hoaït ñoäng. Neáu sai soá ñöa cô caáu I trôû veà trong khoaûng cho pheùp cuûa bieán Trang 59 Thu vien DH SPKT TP. HCM -
  64. Truong DH SPKT TP. HCM vaän haønh thì cô caáu I coù theå ñöôïc thay ñoåi baèng caùch: cô caáu I cuûa boä ñieàu khieån coù theå ñöôïc kích hoaët bôûi TI = 0. Söï hoaët ñoäng cuûa cô caáu P seõ bò yeáu ñi khi coù söï thay ñoåi cuûa ñieåm setpoint. Söï hoaït ñoäng cuûa cô caáu P seõ bò yeáu ñi khi coù söï thay ñoåi cuûa ñieåm setpoint (PFAC_SP) Ñeå ngaên chaën söï quaù taàm(vöôït quaù giôùi haïn),baïn coù theå laøm giaûm söï hoaët ñoäng cuûa cô caáu P baèng caùch söû duïng heä soá tæ leä ñoái vôùi söï thay ñoåi cuûa ñieåm setpoint, thoâng soá PFAC_SP. Söû duïng PFAC_SP, baïn coù theå choïn caùc giaù trò lieân tieáp töø 0.0 ÷1.0 ñeå quyeát ñònh hieäu quaû cuûa cô caáu P khi ñieåm setpoint thay ñoåi. - PFAC_SP=1.0: cô caáu P bò aûnh höôûng neáu ñieåm setpoint bò thay ñoåi. - PFAC_SP=0.0: cô caáu P hoaøn toaøn khoâng bò thay ñoåi neáu ñieåm setpoint bò thay ñoåi. Vieäc giaûm aûnh höôûng cuûa cô caáu P seõ ñaït ñöôïc baèng söï caân baèng theâm cô caáu I Caùc nhaân toá cuûa cô caáu vi phaân (TD, D_F) Cô caáu D cuûa boä ñieàu khieån seõ bò giaûm kích hoaët vôùi TD = 0. Neáu cô caáu D ñöôïc Bankích quyen hoaët ,theo© Truong moái DH quan Su phamheä giöõa Ky caùcthuat thoâng TP. HCM soá ta coù: TD = 0.5 * CYCLE * D_F Caøi ñaët thoâng soá cuûa boä ñieàu khieån P vaø PD vôùi ñieåm ñang hoaët ñoäng Trong giao dieän cuûa ngöôøi söû duïng, cô caáu I khoâng ñöôïc kích hoaët (TI = 0.0) vaø cô caáu (TD = 0.0) cuõng vaäy. Sau ñoù caøi ñaët caùc thoâng soá: I_ITL_ON = TRUE I_ITLVAL = ñieåm ñang hoaët ñoäng. Ñieàu khieån hoài tieáp (DISV) Bieán hoài tieáp coù theå ñöôïc theâm vaøo trong ngoõ vaøo DISV Vieäc tính toaùn bieán vaän haønh Sô ñoà khoái döôùi ñaây laø sô ñoà veà söï tính toaùn bieán vaän haønh Trang 60 Thu vien DH SPKT TP. HCM -
  65. Truong DH SPKT TP. HCM Hình 5.8. Sô ñoà khoái tính toaùn bieán vaän haønh Vuøng ñieàu khieån (CONZ_ON, CON_ZONE) Neáu CONZ_ON = TRUE, boä ñieàu khieån seõ hoaït ñoäng trong vuøng ñieàu khieån. Ñieàu naøy coù nghóa laø boä ñieàu khieån hoaït ñoäng theo thuaät toaùn sau: - Neáu PV vöôït quaù giaù trò SP_INT gaàn caän treân cuûa CON_ZONE, thì giaù trò LMN_LLM laø giaù trò ngoõ ra nhö bieán vaän haønh (ñieàu khieån theo chu trình kín) - Neáu PV naèm döôùi giaù trò SP_INT gaàn caän döôùi cuûa CON_ZONE,thì giaù trò LMN_HLM laø giaù trò ngoõ ra nhö bieán vaän haønh(ñieàu khieån theo chu trình kín) - Neáu PV naèm trong khoaûng vuøng ñieàu khieån (CON_ZONE) bieán vaän haønh seõ giöõ giaù trò cuûa noù laïi töø LMN_Sum cuûa thuaät toaùn. Chuù yù: Söï chuyeån ñoåi töø ñieàu khieån kín sang ñieàu khieån töï ñoäng theo chu trình kín thì söï ñieàu khieån seõ tính toaùn 1 khoaûng treã khoaûng 20% cuûa vuøng ñieàu khieån. Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 5.9. Sô ñoà vuøng ñieàu khieån (CONZ_ON, CON_ZONE) Tröôùc khi kích hoaït vuøng ñieàu khieån tay, phaûi chaéc chaén raèng vuøng ñieàu khieån khoâng quaù heïp, neáu vuøng ñieàu khieån quaù nhoû, söï dao ñoäng seõ xuaát hieän trong bieán vaän haønh vaø bieán xöû lí. Thuaän lôïi cuûa vuøng ñieàu khieån Trang 61 Thu vien DH SPKT TP. HCM -
  66. Truong DH SPKT TP. HCM Khi giaù trò xöû lí thuoäc vuøng ñieàu khieån , cô caáu D taïo ra moät söï giaûm cöïc nhanh cuûa bieán vaän haønh. Ñieàu naøy coù nghóa laø vuøng ñieàu khieån chæ höõu ích khi cô caáu D ñöôïc kích hoaït. Khoâng coù vuøng ñieàu khieån, veà cô baûn cô caáu P seõ laøm giaûm bieán vaän haønh. Vuøng ñieàu khieån seõ coù taùc ñoäng tôùi söï oån ñònh nhanh hôn maø khoâng coù söï vöôït quaù giôùi haïn hoaëc sai leäch döôùi( thaáp hôn trò soá danh nghóa). Xöû lí giaù trò baèng tay (MAN_ON, MAN) Baïn coù theå baät coâng taéc ñieàu khieån tay hoaëc töï ñoäng . Trong ñieàu khieån tay bieán vaän haønh ñöôïc ñieàu chænh ñeán moät giaù trò theo höôùng daãn . Cô caáu tích phaân (INT) ñöôïc set ñeán giaù trò LMN - LMN_P – DISV vaø cô caáu vi phaân (DIF) ñöôc set ñeán giaù tri 0 vaø ñöôïc ñoàng boä hoaù beân trong. Do ñoù chuyeån sang cheá ñoä töï ñoäng seõ ít bò va chaïm hôn. Chuù yù: trong khi ñieàu khieån thoâng soá MAN_ON khoâng coù aûnh höôûng gì. Söï giôùi haïn cuûa bieán vaän haønh LMNLIMIT (LMN_HLM, LMN_LLM) Giaù trò cuûa bieán vaän haønh ñöôïc giôùi haïn ñeán 2 giaù trò giôùi haïn LMN_HLM vaø LMN_LLM bôûi haøm LMNLIMIT. Neáu söï giôùi haïn naøy ñaït ñöôïc, ñieàu naøy ñöôïc chæ ñònh bôûi bit thoâng tin QLMN_HLM vaø QLMN_LLM. Neáu bieán vaän haønh bò giôùi haïn thì cô caáu seõ bò ngöng hoaët ñoäng. Neáu sai soá ñöa cô caáu I veà ñuùng vuøng bieán vaänBan haønh quyen thì cô© Truong caáu I seõDH ñöôïc Su pham phuïc Ky hoài. thuat TP. HCM Tay ñoåi söï giôùi haïn cuûa bieán keát quaû Neáu mieàn bieán vaän haønh bò giaûm vaø giaù trò môùi khoâng ñöôïc giôùi haïn cuûa bieán vaän haønh naèm ngoaøi khoaûng giôùi haïn, thì cô caáu I vaø giaù trò cuûa bieán vaän haønh seõ bò thay ñoåi. Vieäc tieâu chuaån hoaù bieán vaän haønh (LMN_FAC, LMN_OFFS) Haøm LMN_NORM chuaån hoaù bieán vaän haønh theo coâng thöùc sau: LMN = LmnN * LMN_FAC + LMN_OFFS Noù ñöôïc duøng vôùi yù ñònh: LMN_FAC: nhö heä soá cuûa giaù trò xöû lí. LMN_OFFS: söï offset cuûa giaù trò xöû lí. Giaù trò bieán vaän haønh cuõng coù khaû naêng ñöôïc ñònh daïng töø beân ngoaøi. Haøm CRP_OUT chuyeån soá thöïc sang giaù trò ngoaïi vi theo coâng thöùc sau: LMN_PER = LMN * 27648/100 Vôùi giaù trò maëc ñònh (LMN_FAC = 1.0 vaø LMN_OFFS = 0.0) thì söï chuaån hoaù seõ khoâng ñöôïc thích hôïp. Luùc naøy keát quaû cuûa bieán vaän haønh laø ngoõ ra taïi LMN. Ghi nhaän vaø chuyeån taûi caùc thoâng soá cuûa boä ñieàu khieån - Vieäc ghi nhaän caùc thoâng soá cuûa boä ñieàu khieån SAVE_PAR Trang 62 Thu vien DH SPKT TP. HCM -
  67. Truong DH SPKT TP. HCM Neáu vieäc caøi ñaët caùc thoâng soá hieän haønh ñöôïc duøng, baïn coù theå ghi nhaän chuùng vaøo moät caáu truùc ñaëc bieät trong haøm FB 58"TCONT_CP" tröôùc khi taïo ra moät söï thay ñoåi .Neáu baïn ñieàu chænh boä ñieàu khieån, vieäc caùc thoâng soá ghi nhaän ñöôïc vieát ñeø leân thay giaù trò tröôùc khi chuyeån ñoåi. PFAC_SP, GAIN, TI, TD, D_F, CONZ_ON vaø CONZONE ñöôïc chuyeån sang caáu truùc PAR_SAVE. - Vieäc taûi caùc thoâng soá ñaõ ñöôïc ghi nhaän cuûa boä ñieàu khieån UNDO_PAR Haøm naøy ñöôïc söû duïng ñeå kích hoaët thoâng soá ñöôïc caøi ñaët cuoái cuøng cuûa boä ñieàu khieån maø baïn ñaõ ghi nhaän ñeå phuïc hoài boä ñieàu khieån (chæ trong ñieàu khieån tay) Hình 5.10. Sô ñoà khoái cuûa vieäc ghi nhaän vaø chuyeån taûi caùc thoâng soá cuûa boä ñieàu khieån Ban quyen © Truong DH Su pham Ky thuat TP. HCM Vieäc chuyeån ñoåi caùc thoâng soá giöõa boä PI vaø PID LOAD_PID (PID_ON) Theo quaù trình ñieàu chænh caùc thoâng soá PID vaø PI seõ ñöôïc löu vaøo trong caáu truùc PI_CON vaø PID_CON. Tuyø vaøo PID_ON,baïn coù theå söû duïng LOAD_PID trong ñieàu khieån tay ñoái vôùi caùc thoâng soá PI hoaëc PID ñeå taïo ra caùc thoâng soá cuûa boä ñieàu khieån Chu yù: Caùc thoâng soá cuûa boä ñieàu khieån chæ ñöôïc ghi trôû laïi vaøo boä ñieàu khieån vôùi UNDO_PAR hoaëc LOAD_PID khi ñoä lôïi cuûa boä ñieàu khieån khaùc 0. LOAD_PID copy caùc thoâng soá neáu ñoä lôïi GAIN <> 0 (caùc thoâng soá cuûa moät trong hai boä PI vaø PID ) D_F, PFAC_SP coù theå ñöôïc set ñeán giaù trò maëc ñònh baèng caùch ñieàu chænh. Nhöõng giaù trò naøy sau ñoù coù theå ñöôïc xaùc ñònh bôûi ngöôøi ñieàu chænh. LOAD_PID khoâng thay ñoåi caùc thoâng soá naøy. Vôùi LOAD_PID vuøng ñieàu khieån luoân ñöôïc tính toaùn laïi. Trang 63 Thu vien DH SPKT TP. HCM -
  68. Truong DH SPKT TP. HCM (CON_ZONE = 250/GAIN) ngay khi CONZ_ON = FALSE ñöôïc set. Quaù trình chaïy (Tuning) cuûa FB 58 "TCONT_CP"  Giôùi thieäu Vôùi vieäc ñieàu khieån vieäc chaïy töï ñieàu chænh cuûa "TCONT_CP", boä ñieàu khieån PI/PID caäp nhaät töï ñoäng caùc thoâng soá cuûa boä ñieàu khieån. Coù hai phöông thöùc chaïy Tuning: - Chaïy töï ñieàu chænh baèng söï tieán gaàn tôùi ñieåm hoaït ñoäng vôùi söï thay ñoåi töøng böôùc cuûa ñieåm ñaët. - Chaïy töï ñieàu chænh ñieåm hoaït ñoäng baèng vieäc ñaët moät bit baét ñaàu. Caû hai caùch treân, quaù trình xöû lí ñöôïc kích thích bôûi coù theå löïa choïn bieán gaùn thay ñoåi. Sau khi chæ ra ñieåm uoán, caùc thoâng soá boä ñieàu khieån coù giaù trò vaø boä ñieàu khieån ñöôïc khôûi hoaït ñoäng töï ñoäng vaø tieáp tuïc ñieàu khieån vôùi nhöõng thoâng soá naøy. Baïn coù theå ñieàu khieån vieäc chaïy ñieàu chænh baèng vieäc söû duïng caùc thoâng soá ñöôïc thieát laäp treân giao dieän chöông trình thieát keá. Caùc böôùc tieán haønh: - Start -> Simatic Manager -> Project -> Block -> DB58 -> Option -> Curve Recorder Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 5.11. Maøn hình caäp nhaät ñoà thò - Thieát laäp caùc thoâng soá vaøo sau khi vaøo Curve Recorder nhaáp vaøo Setting ( caøi ñaët thoâng soá ) Trang 64 Thu vien DH SPKT TP. HCM -
  69. Truong DH SPKT TP. HCM Hình 5.12. Maøn hình caøi ñaët thoâng soá - Sau khi caøi ñaët thoâng soá xong ta vaøo Data Block -> Open Oline -> Option Controller Tuning  Keát quaû Caùc thoâng soá ñieàu khieån ñöôïc caäp nhaät trong quaù trình FB58 "TCONT_CP" chaïy töï ñieàu chænh. Nhaân toá ñeå laøm giaûm thoâng soá taùc ñoäng P laø PFAC_SP = 0.8 - Ñoä lôïi cuûa boä ñieàu khieån GAIN - Thôøi gian boä tích phaân: TI - Thôøi gian boä vi phaân: TD - Nhaân toá boä vi phaân: D_F = 5.0 - Vuøng ñieàu khieån Control zone on/off: CONZ_ON - Ñoä roäng vuøng Control zone: CON_ZONE Ban quyen © Truong DH Su pham Ky thuat TP. HCM Hình 5.13. Ñoà thò keát quaû cuûa quaù trình caäp nhaät thoâng soá Ví duï veà laøm giaûm ñaùp öùng ñieàu khieån vôùi PFAC_SP Thoâng soá quaù trình: GAIN = 6 T1 = 50s T2 = 5s Thoâng soá boä ñieàu khieån: Trang 65 Thu vien DH SPKT TP. HCM -
  70. Truong DH SPKT TP. HCM GAIN = 6 TI = 19.6s Baûng 5.2: Chuù thích keát quaû cuûa ñoà thò Thôøi Heä soá Chuù thích Ñoä voït loá gian SP thöû 8:18 1.0 Cô caáu P khoâng coù söï hoài tieáp 32% 8:19 0.8 Cô caáu P coù söï hoài tieáp 20%, söï 2% ñaùp öùng ñieàu khieån ôû ñieàu kieän toát nhaát 8:20 0.0 Cô caáu P hoài tieáp hoaøn toaøn, söï - chaán ñoäng giaûm maïnh. 5.2.2 Caùc thoâng soá cuûa FB58 ÑÒA THOÂNG IN/OUT KIEÅU VUØNG GT MOÂ TAÛ CHÆ SOÁ Ban quyen DÖÕ© Truong DHGT Su phamÑAÀU Ky thuat TP. HCM LIEÄU 0.0 PV_IN INPUT REAL Tuyø 0.0 PROCESS VARIABLE caûm IN. bieán söû Giaù trò khôûi taïo coù theå duïng ñaët ôû ñaàu vaøo”process variable on” hoaëc ë töø bieán quaù trình ñöôïc bieåu dieãn döôùi daïng soá thöïc daáu phaûy ñoäng 4.0 PV_PER INPUT INT 0 PROCESS VARIABLE PERIPHERY Bieán quaù trình ñöôïc noái vôùi CPU thoâng qua coång vaøo töông töï. 6.0 DISV INPUT REAL 0.0 DISTURBANCE VARIABLE Ñoái vôùi ñieàu khieån thuaän bieán nhieãu seõ Trang 66 Thu vien DH SPKT TP. HCM -
  71. Truong DH SPKT TP. HCM ñöôïc noái ôû ngoõ vaøo disturbance variable 10.0 INT_HPO INPUT BOOL FALS INTEGRAL ACTION S E HOLD IN POSITIVE DIRECTION . Ngoõ ra cuûa cô caáu I coù theå ñöôïc chæ ra trong moät phaïm vi tröïc tieáp. Ñeå ñaït ñöôïc ñieàu naøy, ngoõvaøo INT_HPOS phaûi ñöôïc set ñeán giaù trò TRUE . Trong töøng ñôït ñieàu khieån, INT_HPOS cuûa boä ñieàu khieån ñaàu tieân ñöôïc keát noái ñeán ngoõ QLMN_HLM cuûa boä ñieàu khieån thöù 2 10.1 INT_HNE INPUTBan quyen BOOL© Truong DH Su phamFALS Ky thuatINTEGRAL TP. HCM ACTION G E HOLD IN NEGATIVE DIRECTION. Ngoõ ra cuûa cô caáu I coù theå ñöôïc chæ ra trong moät höôùng phuû ñònh. Ñeå ñaït ñöôïc ñieàu naøy ,ngoõ vaøo INT_HPOS phaûi ñöôïc set ñeán giaù trò TRUE . Trong töøng ñôït ñieàu khieån, INT_HPOS cuûa boä ñieàu khieån ñaàu tieân ñöôïc keát noái ñeán ngoõ QLMN_LLM cuûa boä ñieàu khieån thöù 2 12.0 SELECT INPUT INT 0ÑEÁN 0 SELECTION OF CALL 3 PID AND PULSE GENERATOR. Trang 67 Thu vien DH SPKT TP. HCM -
  72. Truong DH SPKT TP. HCM Neáu maùy phaùt sung ñöôïc kích hoaët, coù moät vaøi caùch ñeå goïi thuaät toaùn PID vaø boä phaùt sung. SELECT =0: Boä ñieàu khieån seõ ñöôïc goïi trong moät chu kì nhanh ôû möùc ñoä giaùn ñoaïn, thuaät toaù PID vaøø boä phaùt sung seõ ñöôïc xöû lí. SELECT =1:Boä ñieàu khieån seõ ñöôïc goïi trong khoái OB1 vaø chæ thuaät toaùn PID ñöôïc xöû lí. SELECT = 2: boä ñieàu khieån seõ ñöôïc goïi trong moät chu kì nhanh ôû möùc Ban quyen © Truong DH Su pham Ky thuatñoä TP. giaùn HCM ñoaïn vaø chæ boä phaùt sung ñöôïc xöû lí. SELECT =3: Boä ñieàu khieån seõ ñöôïc goïi trong moät chu kì chaäm ôû möùc ñoä giaùn ñoaïn vaø chæ thuaät toaùn PID ñöôïc xöû lí. 14.0 PV OUTPU REAL Tuyø 0.0 PROCESS VARIABLE T caûm Tín hieäu quaù trình ñöôïc bieán söû xuaát qua coång ra duïng “process variable” 18.0 LMN OUTPU REAL 0.0 MANIPULATED T VARIABLE Giaù trò ra ñöôïc thieát laäp baèng tay thoâng qua coång ra” manipulated variable” 22.0 LMN_PER OUTPU INT 0 MANIPULATED Trang 68 Thu vien DH SPKT TP. HCM -
  73. Truong DH SPKT TP. HCM T VARIABLE PERIPHERY. Giaù trò ñaàu ra thieát laäp baèng tay theo kieåu bieåu dieãn phuø hôïp vôùi caùc coång vaøo/ra töông töï ñöôïc choïn qua ngoõ ra“manipulated variable periphery” 24.0 QPULSE OUTPU BOOL FALS OUTPUT PULSE T E SIGNAL. Khi coù giaù trò xung ñöôïc kích hoaët thì seõ coù tín hieäu ra taïi ngoõ ra “QPULSE” 24.1 QLMN OUTPU BOOL FALS HIGH LIMIT OF HLM T E MANIPULATED VARIABLE Ban quyen © Truong DH Su pham Ky thuatREACHED. TP. HCM Coång ra” hight limit of manipulated variable reached ” thoâng baùo giaù trò cuûa bieán quaù trình vöôït quaù giaù trò giôùi haïn 24.2 QLMN_LL OUTPU BOOL FALS LOW LIMIT OF M T E MANIPULATED VARIABLE REACHED Coång ra” low limit of manipulated variable reached ” thoâng baùo giaù tò cuûa bieán quaù trình nhoû hôn giaù trò giôùi haïn 24.3 QC_ACT OUTPU BOOL TRUE NEXT CYCLE, THE T CONTINUOUS CONTROLLER IS Trang 69 Thu vien DH SPKT TP. HCM -
  74. Truong DH SPKT TP. HCM WORKING. Thoâng soá naøy seõ ñöôïc chæ roõ khi coù hay khoâng traïng thaùi ñieàu khieån tieáp theo seõ ñöôïc thi haønh taïi laàn goïi tieáp theo (chæ thích hôïp khi SELECT coù giaù trò 1 hoaëc 0) 26.0 CYCLE INPUT/ REAL 0.001 0.1s SAMPLE TIME OF OUTPU s CONTINUOUS T CONTROLLER[s]. Taïi ñaây seõ set thôøi gian maãu ñoái vôùi thuaät toaùn PID. Boä ñieàu chænh trong pha thöù nhaát vaø ñöa vaøo CYCLE. Thôøi gian laáy maãu laø khoaûng Ban quyen © Truong DH Su pham Ky thuatthôøi TP. HCM gian khoâng ñoåi giöõa caùc laàn khoái ñöôïc caäp nhaät. 30.0 CYCLE_P INPUT/ REAL 0.001 0.02s SAMPLE TIME OF OUTPU s PULSE T GENERATOR[s]. Taïi ngoõ vaøo naøy, baïn ñöa vaøo thôøi gian maãu ñoái vôùi maùy phaùt xung. FB 58 “TCONT_CP” tính toaùn thôøi gian maãu trong pha thöù nhaát vaø ñöa noù vaøo trong CYCLE_P. 34.0 SP_INT INPUT/ REAL vuøng 0.0 INTERNAL OUTPU giaù trò SETPOINT T cuûa giaù Ñaàu vaøo “internal trò xöû lí setpoint” ñöôïc söû duïng ñeå thieát laäp tín hieäu chuû Trang 70 Thu vien DH SPKT TP. HCM -
  75. Truong DH SPKT TP. HCM ñaïo. 38.0 MAN INPUT/ REAL 0.0 MANUAL VALUE. OUTPU Coång vaøo” manual T value” ñöôïc söû duïng ñeå ñaët giaù trò baèng caùc haøm giao dieän 42.0 COM_RST INPUT/ BOOL FALS COMPLETE OUTPU E RESTART T Khoái coù chöùc naêng khôûi taïo laïi heä thoáng hoaøn toaøn khi ñaàu vaøo “complete restart” ñöôïc thieát laäp giaù trò logic TRUE 42.1 MAN_ON INPUT/ BOOL TRUE MANUAL OUTPU OPERATION ON. T Khi ñaàu vaøo “manual operation on” coù giaù trò Ban quyen © Truong DH Su pham Ky thuatlogic TP. HCMTRUE maïch voøng ñieàu khieån seõ bò ngaét, caùc giaù trò seõ ñöôïc thieát laäp baèng tay. 90.0 PVPER_O INPUT BOOL FALS PROCES VARIABLE N E PERIPHERY ON Neáu baïn muoán giaù trò xöû lí ñöôïc ñoïc thoâng qua thieát bò I/O, ngoõ vaøo PV_PER phaûi ñöôïc keát noái ñeán I/O vaø giaù trò xöû lí ngoaïi vi phaûi ñöôïc set leân (TRUE). 186.5 LOAD_PI INPUT/ BOOL FALS LOAD OPTIMIZED D OUTPU E PI/PID PARAMETERS T 186.6 PID_ON INPUT/ BOOL FALS PID MODE ON OUTPU E PID controller: T PID_ON = TRUE Trang 71 Thu vien DH SPKT TP. HCM -
  76. Truong DH SPKT TP. HCM PI controller: PID _ON = FALSE 5.3 HAØM FC105, FC106 Ñeå thuaät lôïi trong quaù trình xöû lyù caùc tín hieäu töông töï phaàn meàm Step 7 coù saün haøm thö vieän FC105,FC106 5.3.1 Haøm FC105_Ñònh tæ leä gía trò ngoõ vaøo Analog Hình 5.14. Caùc caâu leänh cuûa haøm FC105 - Ví duï: Möùc ñaày trongBan quyen boàn ñöôïc © Truong ño baèng DH Su lít pham .Boä Ky thuat TP. HCM chuyeån ñoåi ño ñöôïc choïn 500 lít thì töông öùng vôùi moät giaù trò ño laø 10V. - Tæ leä: Module Analog chuyeån ñoåi giaù trò analog 10V thaønh soá nguyeân 27 648. Giaù trò naøy baây giôø ñaõ ñöôïc chuyeån ñoåi thaønh ñaïi löôïng vaät lí lít. Quaù trình naøy ngöôøi ta goïi laø ñònh tæ leä giaù trò Analog. - Chöông trình:Vieäc ñònh tæ leä giaù trò analog ñöôïc thöïc hieä trong khoái chuaån FC 105. Khoái FC 105 naèm trong thö vieän “ Standard Library”trong chöông trình S7”TI-S7 Converting Block” cuûa phaàn meàm Step 7. - IN : Giaù trò Analog taïi ngoõ vaøo IN coù theå ñöôïc ñoïc tröïc tieáp töø module hoaëc ñoïc qua ngoõ giao tieáp döõ lieäu trong daïng INTEGR - LO_LIM,HI_LIM: Caùc giôùi haïn chuyeån ñoåi caùc ñaïi löông vaät lí seõ ñöôïc ñaët tröôùc ôû caùc ngoõ vaøo LO_LIM (giôùi haïn döôùi) vaø HI_LIM (giôùi haïn treân). Trong thí duï treân thì giôùi haïn chuyeån ñoåi töø 0 ñeán 500 lít. Trang 72 Thu vien DH SPKT TP. HCM -
  77. Truong DH SPKT TP. HCM - OUT: Giaù trò tæ leä (ñaïi löôïng vaät lí) thì ñöôïc löu tröõ nhö laø moät soá thöïc taïi ngoõ ra OUT. Haèng soá K1, K2 seõ ñöôïc set döïa treân giaù trò ngoõ vaøo laø BIPPOLAR hay UNBIPPOLAR - BIPPOLAR: Ngoõ vaøo BIPPOLAR xaùc ñònh lieäu giaù trò aâm coù ñöôïc chuyeån ñoåi hay khoâng. BIPPOLAR: Giaù trò ngoõ vaøo laø soá nguyeân ñöôïc thöøa nhaän giöõa -27648 vaø +27648,do ñoù K1 laø -27648, K2 laø +27648. UNBIPPOLAR: Giaù trò ngoõ vaøo laø soá nguyeân ñöôïc thöøa nhaän giöõa 0 vaø +27648,do ñoù K1 laø0, K2 laø +27648. Trong ví duï treân, bit nhôù 0.0 coù tín hieäu “0” vaø vì theá baùo hieäu giaù trò ngoõ vaøo laø moät cöïc. - RET_VAL: Ngoõ ra RET_VAL coù giaù trò 0 neáu söï hoaët ñoäng khoâng coù söï coá. Neáu giaù trò taïi ngoõ vaøo lôùn hôn K2 , ngoõ ra OUT ñöôïc kieåm soaùt bôûi HI_LIM vaø loãi xuaátBan quyenhieän, neáu© Truong giaù trò DH taïi Su ngoõ pham vaøo Ky nhoû thuat hôn TP. K 1HCM , ngoõ ra OUT ñöôïc kieåm soaùt bôûi LO_LIM vaø loãi xuaát hieän. Luùc naøy ENO seõ ñöôïc set giaù trò 0, vaø RET_VALseõ coù giaù trò W#16#0008. 5.3.2 Haøm FC106_Khoâng chia tæ leä soá thöïc cho ngoõ ra Analog Hình 5.15. Caùc caâu leänh cuûa haøm FC106 Trang 73 Thu vien DH SPKT TP. HCM -
  78. Truong DH SPKT TP. HCM Ví duï: Chöông trình tính toaùn giaù trò Analog trong phaïm vi töø 0 ñeán 100.0%. Giaù trò naøy ñöôïc chuyeån tôùi ngoõ ra nhôø moät module ngoõ ra Analog. - Khoâng chia tæ leä: Khoái chuaån FC106 ñöôïc söû duïng cho vieäc khoâng chia tæ leä (söï bieán ñoåi cuûa moät soá thöïc töø 0 ñeán 100.0% thaønh moät soá nguyeân 16 bits töø 0 ñeán 27648) - OUT:Giaù trò Analog khoâng chia tæ leä taïi ngoõ ra out coù theå ñöôïc tryeàn ñi döôùi daïng moät soá nguyeân 16 bits ñeán ngoõ giao tieáp döõ lieäu hoaëc tröïc tieáp ñeán ngoaïi vi. Chöông trình: Khoái FC106 naèm trong thö vieän “Standard Library” trong chöông trình S7 “TI-S7 Converting Block” cuûa phaàn meàm Step7. 5.4 Ví duï öùng duïng ñieàu khieån möùc nöôùc trong boàn 5.4.1 Nguyeân Lyù hoaïtBan ñoäng quyen : © Truong DH Su pham Ky thuat TP. HCM Nöôùc ñöôïc bôm töø bình chöùa 1 leân bình 2 baèng bôm ly taâm ,bôm ly taâm hoaït ñoäng theo hai cheá ñoä coù theå ñieàu khieån baèng tay hoaëc ñieàu khieån töø PLC baèng nuùm chuyeån hai cheá ñoä AUTO vaø MAN, bôm hoaït ñoäng ôû hieäu ñieän theá xoay chieàu 220-240 VAC ,coâng suaát laø 30 W, löu löôïng nöôùc khoaûng 20 lít/phuùt, noù ñöôïc taùc ñoäng bôûi 2 rôle(250 VAC/5A). Doøng nöôùc qua bôm chia laøm 2 phaàn, 1 löôïng seõ qua van solenoid vaø 1 löôïng ñöôïc hoài veà beå 1 ñeå baûo ñaûm an toaøn cho bôm khi van solenoid ñoùng laïi. Van solenoid cuõng coù theå ñöôïc ñieàu khieån baèng tay hoaëc baèng PLC duøng ñeà ñoùng môû töùc thôøi doøng nöôùc leân boàn chöùa 1 khi ñieàu khieån. Aùp suaát hoaït ñoäng töø 0-10 bar, caáp doøng 24 VDC, doøng coù theå ñöôïc caáp töø rôle hoaëc tröïc tieáp töø PLC. Doøng tieáp tuïc qua boä phaän hieån thò löu löôïng doøng cô khí duøng ñeå ñieàu chænh löu löôïng sao cho vöøa phaûi oån ñònh giöõa 2 luoàng nöôùc phaân nhaùnh töø bôm. Khi qua boä chuyeån ñoåi löu löôïng boä phaän naøy seõ hieån thò giaù trò löu löôïng doøng chaûy chính xaùc vaø truyeàn thoâng soá naøy veà cho PLC xöû lyù döôùi daïng doøng ñieän töø 0/4 – 20 mA tuyø theo giaù trò lôùn nhoû cuûa löu löôïng nöôùc. PLC nhaän 2 ngoõ vaøo analog laø boä chuyeån ñoåi löu löôïng vaøø caûm bieán, tuyø thuoäc vaøo chöông trình phaàn meàm ñieàu khieån ñöôïc vieát saün maø PLC seõ ñieàu khieån proportional Trang 74 Thu vien DH SPKT TP. HCM -